20210123 张大佳MCU VHDL常用语法 您所在的位置:网站首页 请问open是什么意思 20210123 张大佳MCU VHDL常用语法

20210123 张大佳MCU VHDL常用语法

#20210123 张大佳MCU VHDL常用语法 | 来源: 网络整理| 查看: 265

VHDL常用语法 ---- Port map and OPEN

FPGA---基本功 日常记录 备忘

Two ways to map the PORTS of a COMPONENT during its instantiation:

1 第一种 变量和引脚关联方式 Positional mapping, ports x and y correspond to a and b, respectively.

COMPONENT inverter IS PORT (a: IN STD_LOGIC; b: OUT STD_LOGIC); END COMPONENT; ... U1 : inverter PORT MAP (X,Y);

2 第二种 变量和引脚关联方式  Nominal mapping would be the following:

U1: inverter PORT MAP (x => a, y => b);   Positional mapping is easier to write, but nominal mapping is less error-prone.

 3 第三种 变量和引脚关联方式 可以有---open关键词 Ports can also be left unconnected (using the keyword OPEN). 

U2: my_circuit PORT MAP (X => a, y => b, z => OPEN); 4  如何按位置位 => used to assign values to individual vector or with keyword others signal Data_Bus : std_logic_vector (15 downto 0); ... ... -- 1st way Data_Bus '1', others => '0'); -- 2nd way Data_Bus (15 | 7 downto 0)


【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有