实验一 使用SSI设计交通灯 您所在的位置:网站首页 红绿灯测试图 实验一 使用SSI设计交通灯

实验一 使用SSI设计交通灯

2024-07-14 23:07| 来源: 网络整理| 查看: 265

一、实验要求

使用与非门设计一个十字交叉路口的红绿灯控制电路,检测所设计电路的功能,记录测试结果。 

图中A、B方向是主通道, C、D方向是次通道,在A、B、C、D四通道附近各装有车辆传感器,当有车辆出现时,相应的传感器将输出信号1,红绿灯点亮的规则如下。

(1)A、B方向绿灯亮的条件:

(a)A、B、C、D均无传感信号

(b)A、B均有传感信号

(c)A或B有传感信号,而C和D不是全有传感信号

(2)C、D方向绿灯亮的条件:

(a)C、D均有传感信号,而A和B不是全有传感信

(b)C或D有传感信号,而A和B均无传感信号

二、实验设备

1.Mini-FPGA开发板(Cyclone IV系列EP4CE6F17C8);

2.主要集成电路: 7400与非门、7420与非门。

3.软件:Quartus II 13.1

三、设计过程                                          

真值表和卡诺图:

A,B,C,D有传感信号时输出为1,无传感信号时输出为0,当AB方向亮绿灯时输出1,否则为0。一句实验要求列写出真值表和卡诺图,并化简卡诺图。                                                                        

                             

               

函数表达式:

依据化简后的卡诺图,列写出函数表达式。

电路图:

在quartus中画好电路图,并将A,B,C,D,F,F1分别定位到FPGA开发板拨动开关sw0,sw1,sw2,sw3及LED6,LED7上。之后做好波形仿真和下载测试结果验证。

四、实验结果及分析

波形仿真,下载测试结果及分析:

波形仿真图

如图,输入ABCD按循环码(每个输入持续80ns)输入时,输出F,F1正常输出,符合实验要求。

A,B,C,D,F,F1分别接入FPGA开发板拨动开关sw0,sw1,sw2,sw3及LED6,LED7,改变拨动开关状态,LED按仿真波形正常发光,下载测试结果正常。

五、实验心得

通过这次实验,我对quartus软件的使用更加熟练,懂得了如何更好的进行波形仿真,即在输入端波形按从小到大或循环码的顺序将所有输入情况列出,然后模拟输出,这样可以直接验证所有情况。



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有