方法二:用译码器138和与非门74LS20设计实现3人表决电路 您所在的位置:网站首页 用全译码器74ls138实现逻辑函数 方法二:用译码器138和与非门74LS20设计实现3人表决电路

方法二:用译码器138和与非门74LS20设计实现3人表决电路

2024-07-11 22:42| 来源: 网络整理| 查看: 265

方法二:用译码器138和与非门74LS20设计实现三人表决电路 74LS153实现三人表决电路(4种方式实现3人表决电路)

用译码器138和与非门74LS20的实物接线图

74LS153实现三人表决电路(4种方式实现三人表决电路)

方法三:用8选1数据选择器74LS151实现三人表决电路 74LS153实现三人表决电路(4种方式实现三人表决电路)

将输入变量接至数据选择器的地址输入端,即A=A2,B=A1,C=A0。输出变量接至数据选择器的输出端,即L=Y。将逻辑函数L的最小项表达式与74151的功能表相比较,

74LS153实现三人表决电路(4种方式实现三人表决电路)

用数据选择器74LS151实现接线图

74LS153实现三人表决电路(4种方式实现三人表决电路)

方法四:用4选1数据选择器74LS153实现三人表决电路 74LS153实现三人表决电路(4种方式实现三人表决电路)

该逻辑函数含有三个逻辑变量,可选其中的两个(A,B)作为数据选择器的地址输入变量,一个(C)作为数据输入变量。

74LS153实现三人表决电路(4种方式实现三人表决电路)

用数据选择器74LS153实现接线图

74LS153实现三人表决电路(4种方式实现三人表决电路)

比较:

74LS153实现三人表决电路(4种方式实现三人表决电路)

结论:MSI设计优于SSI设计的。



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有