史上最全的数字IC后端设计实现培训教程(整理版) 您所在的位置:网站首页 数字后端校招笔试题 史上最全的数字IC后端设计实现培训教程(整理版)

史上最全的数字IC后端设计实现培训教程(整理版)

2024-03-15 12:37| 来源: 网络整理| 查看: 265

史上最全的数字IC后端设计实现培训教程(整理版)

文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。

由于最近比较忙,前几天才把五月份开展活动送的书全部寄出,预计最迟明后天就会送到各位手中,希望各位多多理解!本次活动共送出**八本《Low Power Flow》Physical Implementation (Back End)纸质书籍,请各位中奖的朋友注意查收!另外本次小编多打印了五六本,有需要的朋友可以按照成本价送出。

鉴于很多小伙伴们经常苦于找各类数字 IC 后端实现培训教程和培训视频,今天小编特此整理了一份非常全面的 IC 后端培训教程和培训视频。你们真的不需要再到处找学习资料了,掌握好这些内容,年薪依然低于 40 万的,你们可以来找我聊聊。

培训教程内容来自于吾爱 IC 社区公众号历史推送的文章,共有87 篇原创技术性文章 。这些内容都是小编从自身多年工作经验提炼出来,亲自一个个字码字显现给各位的,均属于纯技术干货。码字不易,如果对你们有所帮助,请文章末尾打赏,鼓励支持下(好久没刷存在感了)!

2023.07.15号更新!

考虑吾爱IC社区自2018年2月份起持续在各大平台上分享数字IC后端设计实现技术经验,累积分享的数字后端技术培训教程已经有500+份,字数超千万字。为了感谢大家一直以来对吾爱IC社区的支持,小编决定不定期把累积发布的所有IC后端设计实现经验技术文章汇总成PDF(最新有2498页),并免费提供给大家。

数字IC后端设计实现培训教程大纲

数字 IC 后端实现培训视频汇总

史上最全的数字 IC 后端培训视频教程(加精)

Synopsys 各种 Training Lab 和 Workshop 新鲜出炉!

数字 IC 后端实现 Innovus 培训视频

CMOS 模拟集成电路设计视频教程(东南大学)

Synopsys 七本 workshop 电子档 pdf 正式出炉 (新链接已经补上)!

数字 IC 设计电子书分享!

更多培训视频教程待进一步整理 。。。

数字 IC 后端设计实现培训教程

Import Design

Floorplan

[【机密】从此没有难做的 floorplan(数字后端设计实现 floorplan 篇)]

[如何评价数字后端设计中 floorplan 的好坏?]

[数字 IC 后端设计实现 floorplan 及 powerplan 规划]

[盘点数字后端设计实现用到的各种文件]

Placement

[数字 IC 后端设计实现中 Magnment placement 详细用法]

[想要彻底掌握 placement 各种技巧,这个一定可以如你所愿!]

[Scan chain reordering 怎么用你知道吗?]

[数字后端实现时 congestion 比较严重,你 hold 得住吗?]

[数字后端实现 place 过程进阶]

Clock Tree Synthesis

[时钟树综合 (Clock Tree Synthesis) 之前应该做好哪些工作?]

[如何成为时钟树综合专家?原来你也可以!]

[数字 IC 后端设计实现之时钟树综合答疑篇]

[深度解析 Create_clock 与 Create_generated_clock 的区别]

[clock jitter 是否对 hold time 有影响?(文末有福利)]

[为什么时钟树上要用 clock inverter(min pulse width check)]

[一网打尽时钟树综合 Clock Skew]

[数字后端设计实现之时钟树综合实践篇]

[合理的时钟结构能够加速 Timing 收敛(时钟树综合中级篇)]

[秒杀数字后端实现中 clock gating 使能端 setup violation 问题]

[数字后端实现时 congestion 比较严重,你 hold 得住吗?]

[时钟树综合(clock tree synthesis)基础篇]

[项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)]

Route

[数字 IC 设计实现之 hierarchical flow 系列(一)]

[数字 IC 设计实现 hierarchical flow 系列(二)]

[数字后端设计实现中 route 阶段的那些事]

[如何用工具自动修复数字 IC 后端设计实现绕线后的 Physical DRC?]

[教你轻松玩转天线效应 (Process Antenna Effect)]

[项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)]

ECO

[Lockup latch 的用法,看这个就够了!]

[听说 Latch 可以高效修 hold 违例(Timing borrowing 及其应用)]

[项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)]

[数字 IC 设计中 ECO 的那些事,其实并不是事!]

[你与数字后端大神的差距在这里,快来瞧瞧!]

Timing

[数字 IC 设计实现之 hold violation 修复大全]

[深度揭秘异步复位同步释放原理]

[深度解析 Create_clock 与 Create_generated_clock 的区别]

[揭秘为何 net delay 是负值(数字后端实现时序篇)]

[PBA(Path Base Analysis)想说爱你不容易(静态时序分析基础篇)]

[【惊呆了!】你居然还在用 flatten 方式进行 timing signoff]

[听说 Latch 可以高效修 hold 违例(Timing borrowing 及其应用)]

[CRPR 能补偿 crosstalk 吗?]

[原来电路最高工作频率是这么算出来的(STA 基础篇)]

[教你轻松调 DCT 和 ICC 之间 Timing 与 Congestion 的一致性]

[深入浅出讲透 set_multicycle_path,从此彻底掌握它]

Low Power

[低功耗设计基础篇]

[低功耗设计实现中 secondary power pin 的连接方法汇总]

[这些低功耗设计实现经验,你真的懂了吗?]

[秒杀数字后端实现中 clock gating 使能端 setup violation 问题]

[基于 Physical Aware 的动态功耗优化实现方案]

[浅谈数字 IC 低功耗设计的若干种低功耗设计方案]

Physical Vertication

[教你轻松玩转天线效应 (Process Antenna Effect)]

[LVS 就是这么简单!(数字后端物理验证篇)]

IR Drop Analysis

[数字 IC 设计后端实现前期预防 IR Drop 的方法汇总]

[IR Drop 分析之 Redhawk 分析流程]

知识星球问答精选

[知识星球问答精选(附五一赠书活动)]

[数字 IC 后端实现问答(摘选自知识星球本周问答)]

[数字后端自主命题题目大揭秘(附知识星球本周问答精选)]

[数字 IC 后端实现专家都具备哪些技能?(附后端面试宝典)]

经典数字后端面试问答

[2019 年数字 IC 后端校招笔试题目(附数字后端培训视频教程)]

[数字后端面试问答 No.22-24(每日三问)]

[数字后端面试问答 No.19-21(每日三问)]

[数字后端面试问答 No.16-18]

[数字后端面试问答 No.13-15(每日三问)]

[数字后端面试问答 No.10-12(每日三问)]

[数字后端面试问题 No.7-9(每日三问)]

[数字后端面试问答 No.4-6(每日三问)]

[数字后端面试问答 No.1-3(每日三问)]

行业动态 & 福利

[谈谈做事和金钱的那些事(文末附 Synopsys lab 资源下载)]

[我是如何在五年内实现年薪 60 万?]

[教你彻底搞懂 ARM Cortex-A75 CPU 的数字后端实现报告]

[比特大陆裁员背后的一点思考]

[数字 IC 后端培训需求调查]

[2019 年数字 IC 校招薪酬火爆出炉,你被倒挂了吗?]

[今年你们发年终奖了吗? 聊聊年终奖背后的市场逻辑]

[IC 自媒体访谈:吾爱 IC 社区]

[2018 年最新机器学习实战视频教程]

[【下载】复旦名师陈果的幸福哲学课]

[15 天零基础入门到精通 python - 最全的视频教程]

[【大师必备】最全的数字 IC 设计经典书籍电子版下载]

[【福利】数字 IC 后端各种 Userguide 下载]

吾爱 IC 社区知识星球星主为公众号” 吾爱 IC 社区” 号主,从事数字 ic 后端设计实现工作近12年,拥有55nm,40nm,28nm,22nm,14nm,12nm,7nm等先进工艺节点成功流片经验,成功tapeout 过三十多颗芯片。

这里是一个数字 IC 设计实现高度垂直细分领域的知识社群,聚集了无数数字 ic 前端设计,后端实现,模拟 layout 工程师们。

在这里大家可以多建立连接,多交流,多拓展人脉圈,甚至可以组织线下活动。 在这里你可以就数字 ic 后端设计实现领域的相关问题进行提问,也可以就职业发展规划问题进行咨询,也可以把困扰你的问题拿出来一起讨论交流。对于提问的问题尽量做到有问必答,如遇到不懂的,也会通过查阅资料或者请教专家来解答问题。在这里鼓励大家积极发表主题,提问,从而促进整个知识社群的良性循环。每个月小编会针对活跃用户进行打赏。

最重要的是在这里,能够借助这个知识社群,短期内实现年薪百万的梦想!不管你信不信,反正已经进来的朋友肯定是相信的!相遇是一种缘分,相识更是一种难能可贵的情分!目前已经有2500位星球成员,感谢这2500 位童鞋的支持!终极目标是打造实现本知识星球全员年薪百万的宏伟目标。



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有