vivado生成bit流失败的解决办法 您所在的位置:网站首页 vivado里ila无法弹出问题 vivado生成bit流失败的解决办法

vivado生成bit流失败的解决办法

2023-06-30 15:37| 来源: 网络整理| 查看: 265

vivado2017.4生成比特流失败,报错信息:

[Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 4 out of 142 logical ports use I/O standard (IOSTANDARD) value ‘DEFAULT’, instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: USBIND_0_port_indctl[1:0], USBIND_0_vbus_pwrfault, USBIND_0_vbus_pwrselect.

解决办法: 新建记事本,添加以下三句:

set_property SEVERITY {Warning} [get_drc_checks NSTD-1]

set_property SEVERITY {Warning} [get_drc_checks RTSTAT-1]

set_property SEVERITY {Warning} [get_drc_checks UCIO-1]

重命名为 name.tcl文件(确定后缀格式有效)

在进入vivado软件,在generate bitstream 界面如下,右键进入setting 在这里插入图片描述

点击tcl.pre

在这里插入图片描述

选中刚才配置的name.tcl 在这里插入图片描述

点击OK,就行了,之后就可以成功生成bit流了。



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有