Synopsys SpyGlass CDC 学习 (一) 您所在的位置:网站首页 spyglass工具使用教程 Synopsys SpyGlass CDC 学习 (一)

Synopsys SpyGlass CDC 学习 (一)

2023-11-21 03:37| 来源: 网络整理| 查看: 265

SpyGlass工具

目前包含:SpyGlass Lint, CDC, RDC, DFT ADV, Power.  是业界RTL Signoff 的重要工具.

CDC介绍

CDC(Clock Domain Crossing)的前端设计中最常见的问题, 在RTL中要恰当的处理每个异步的控制信号和数据信号, 否则就会出现亚稳态,造成严重的function false.

最好的有关亚稳态(metastability),CDC,及其对应的处理方法的资料, 就是SNUG-2008会议上排名第一的优秀论文:https://pan.baidu.com/s/1IW1kVRlJjPsxPz5H_61vZw;

SpyGlass CDC简介

SpyGlass CDC tool是一种 Formal Check Methodology工具, 相比写case跑仿真来找CDC问题, 靠SpgGlass能更早,更全,更快的发现CDC问题. 它能够:

Managing Multi-clock Designs Systematic approach towards CDC problems Check and report any unsynchronized signals:  Clocks and Reset 与Timing Check相比: STA对async interface不太好使,只适合sync模块分析 CDC paths 总是需要设置成false paths 一般在design的后期在netlsit level做有意义 与Functional Simulation相比: 黑盒测试很难穷举(exhaust) 白盒测试需要些assertions 需要覆盖CDC的所有test branches 很难幸运的覆盖所有,一般只能发现部分问题 一般在design后期才发现问题

当SOC design涉及的clock domian太多,用到很多第三方IP,及涉及人员水平参差不齐时, 用SpyGlass CDC来做检查来保证RTL质量是十分必要的.

The SpyGlass CDC Methodology Flow

Synopsys SpyGlass CDC 学习 (一)

各个阶段介绍:

Creating SpyGlass CDC Setup. Goal: cdc_setup; 指定constraints(SGDC file),parameters 及其他指定用于该project的定义来精准运行SpyGlass CDC检查。可以使用‘sdc2sgdc’ 命令来将 block-level SDC 转化成相应的SGDC 约束文件。运行‘cdc_setup_check’ goal能够生成constraints, 但生成的constraint一定要designer仔细review下再用。运行‘cdc_setup’后工具可以理解整个design的architecture, 解决一些block-box的问题,并自动生成‘autoclock.sgdc’及autoreset.sgdc作为 constraint的base 来使用。 Verifying SpgGlass CDC Setup.  Goal: cdc_setup_check;检查setup的正确性和完整性。在进行下一步时一定要修掉该stage报的violation。 如确保所有flip-flop都有时钟。 ‘set_case_analysis’参数合适定义来确保多时钟源的flip-flop有指定的时钟选择。时钟的周期,有效沿及domin都被合适定义。 Performing Clocks and Reset Integrity Checks.    Goal: clock_reset_integrity; 修掉时钟和复位不完整定义的问题,确保时钟和复位都被合理定义,并且确保clock/reset没有glitch和race。 Performing Block-Level CDC Verification:     Goal: cdc_verify; Fix block-level violations to make the block SpyGlass CDC clean要修掉所有的violations, error和warning都要review,必要时可以waive掉。 清理violation是有先后优先级顺序的。

依次修下列violation(priority):    

            • Ac_sync_group Rule violations; rule Ac_unsync01(for scalar unsync) 和 Ac_unsync02(for vector data unsync), 增加constraint或设置cdc_false_path, 不要考waive来修,也可以定义static,quasi-static来解决.             • Convergence violations: rule Ac_conv01,Ac_conv02,Ac_conv03,Ac_conv04 and Ac_conv05.            • Glitch violations: Ac_glitch* 和 Clock_glitch*            • Data hold violation: rule Ac_cdc01, 表明 signal/data从快时钟到慢时钟发从时hold不住。            • Data hold issues in synchronized data crossing: rule Ac_datahold01a            • violations related to data correlation and race conditions: Rule Ac_cdc08, Ac_conv01 and Ac_conv02            • violations related to reset synchronization and deassertion: Rule Ar_unsync01, Ar_sync01,Ar_asyncdeassert01,Ar_syncdessert01,Reset_sync02            • violations related to FIFO recognition and verification: Rule Ac_fifo01, SpgGlass可以识别通常的FIFO结构。 也可以在constraint file(SGDC)中指明fifo。

Performing SOC-Level CDC Verification:    Verify the SOC using the verified blocks     Goal: cdc_verify_struct Signing-off SpyGlass CDC Verification:    View reports and sign-off SpyGlass CDC Verification on the SOC    Goal: N/A


【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有