在ModelSim中将txt文本文件的十六进制数据导入到工程中作为信号源的方法 您所在的位置:网站首页 modelsim输出波形文本文件 在ModelSim中将txt文本文件的十六进制数据导入到工程中作为信号源的方法

在ModelSim中将txt文本文件的十六进制数据导入到工程中作为信号源的方法

2024-07-09 21:10| 来源: 网络整理| 查看: 265

前面一篇文章介绍了在FPGA仿真中如何利用ModelSim将仿真过程中产生的数据保存到txt中进行后续分析,具体见: ModelSim中将仿真数据/波形/wave保存到txt文件的方法 今天就来讲一讲如何将txt文本中的的十六进制数据导入到工程中作为信号源进行仿真:

parameter LINUX = 1'b0 ; parameter IMG_LEN = 256*256; reg [47:0] ddr_img_mem[IMG_LEN-1:0];//定义memory型寄存器 initial begin if ( LINUX == 1'b0 )begin//Win $readmemh("E:/to_fpga/test.txt",ddr_img_mem); end else begin//Centos $readmemh("/home/to_fpga/test.txt",ddr_img_mem); end end reg [19:0] img_cnt; reg [3*16-1:0] rd_data ;// always@(posedge iclk)begin if(rst)begin img_cnt


【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有