proteus亮黄灯怎么解决 您所在的位置:网站首页 led灯变蓝是什么问题 proteus亮黄灯怎么解决

proteus亮黄灯怎么解决

2024-07-12 03:35| 来源: 网络整理| 查看: 265

       大家好!今天让小编来大家介绍下关于proteus亮黄灯怎么解决的问题,以下是小编对此问题的归纳整理,让我们一起来看看吧。

文章目录列表:

1、proteus 8 professional黄点是什么意思

2、proteus指示黄色,出现这种问题该如何解决?

3、为什么proteus仿真时单片机I/o口出现了黄色。

4、proteus指示黄色,出现这种问题该如何解决?

一、proteus 8 professional黄点是什么意思

黄色表示电平有冲突,即出现错误。原因:1、速度太快,用单步调试。2、还是黄色也许是驱动电流不够,导致IO口电压拉低,使显示不正常,可以用万用表量一下IO口电压。proteus的设置:1、F8:全部显示,当前工作区全部显示。2、F6:放大以鼠标为中心放大。3、F7:缩小以鼠标为中心缩小。4、G:栅格开关栅格网格。5、Ctrl+F1:栅格宽度0.1mm 显示栅格为0.1mm。

二、proteus指示黄色,出现这种问题该如何解决?

       用你这个提问来继续前面的回答:

       你说的数据不稳定,是在实物电路上测试的还在仿真图上测试的,在实物上是正常,可在模拟电压输入端并联一个电解电容,会有改善的。

       像这种设计,最好就是在实物上做,因为用仿真能通过的程序到实物可以不行,而且还会出现一些奇怪的现象,就像你遇到的这些现象,在实物是不会发生的。还有在实物上通过的程序,到仿真上去不行了,这种情况下仿真就没有意义了。

       另外还有,AD是可以和LCD一起用的,一点问题也没有,估计还是LCD的程序问题。再你发一个资料,里面有仿真图和程序,不过,这个程序要复杂多了,主要是LCD的程序复杂点。希望对你的设计会有帮助。

追问

       呵呵,你太可气了,我会耐心做的,真是太感谢你的帮助了,平时做课程设计的时候也经常遇到问题的,就是没这次这么奇怪,现在了解了,我还是个未毕业的初学者,这些都是成长的一部分,相信经过我的努力,一定能成功的,再次感谢你的帮助,采纳了!我还有几个问题没处理呢,你随便回答下,我可以一并采纳了

三、为什么proteus仿真时单片机I/o口出现了黄色。

       原因:

       1、速度太快,用单步调试。

       2、还是黄色也许是驱动电流不够,导致IO口电压拉低,使显示不正常,可以用万用表量一下IO口电压。

       proteus的设置:

       1、F8:全部显示 当前工作区全部显示

       2、F6:放大以鼠标为中心放大

       3、F7:缩小以鼠标为中心缩小

       4、G:栅格开关栅格网格

       5、Ctrl+F1:栅格宽度0.1mm 显示栅格为0.1mm,

       扩展资料:

       proteus完善的电路仿真功能:

       1、ProSPICE混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;

       2、超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件;

       3、多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输

       参考资料来源:

四、proteus指示黄色,出现这种问题该如何解决?

仿真图中有定义网络标号P2.0~P2.7有错误,找这些网络标号。追问

       额,大哥,我觉得没错呀,你要是不介意,我把文件传给你帮我看下,程序我都写好的q460142282,万分感谢

追答

       你觉得没错不管用,为什么出黄色警告呢?就找这些网络标号,是不是你的网络标号的放置方法不对,或者不明白网络标号是什么意思。把仿真图贴上来。

追问

       P2口那些黄色警告原本应该是蓝色低电平的,不知道怎么会这样,黄*与红*间隔闪烁,标号应该没标错的吧,而且我也试过不标号,直接用线连也这样。。。

追答

       这说明P2口引脚电平冲突了,把单片机加载的HEX文件删掉,让单片机空仿真,看P2的黄色警告还有没有,如果没有了,说明程序有问题,是不是程序中有P2=0,同时再读ADC0808,造成了电平冲突。

追问

       我程序里没有P2=0或者类似的语句,HEX删了空加载没有黄色警告,我刚网上查了很多,很多人也有类似问题,回复的人基本都没有确切答案和解决方法,或许是软件本身有问题,我仿真这个只是测试,我做的毕设里用到ADC0809,程序研究过N遍了,硬件调试就是出不来,哎,说起来都是眼泪,毕设都快完成了,没想到卡在这里啊,oh my god

追答

       硬件调试出不来,还是说明ADC转换和读数据的程序有问题。用proteus仿真会出现更多的问题,有的程序在实物电路板能通过,但用proteus仿真就不过。最好还是实物电路板上做测试。

       估计是转换程序有点问题,附件是一套测试通过的资料,有仿真图,有C语言程序和汇编语言的程序,测试都很正常的,你可直接运行仿真图看一下结果,然后对照你的仿真图和程序,找出不同的地方,参考附件的仿真图程序做些修改,就应该能行了。

追问

       嗯,你这个AD程序完全可以,而经我后来仿真发现,只要我加入LCD1602的显示程序,就会发生黄色警告,这算是模块之间的冲突吗?我毕设是 从机用到了ADC0809和nRF905无线模块,主机才是无线接收和显示部分,我直接硬件烧入程序,也不行,难道AD不能与别的模块共用一个单片机么。。。哎,耽误你那么多时间,我都不好意思追问了。。。

追答

       既然这样,就先把AD去掉,只调试LCD1602的显示程序,看能不能正常显示,这样就能找出是不是显示程序的冲突。你这设计可以做呀,从机有AD但没有LCD,不冲突。主机有LCD但没有AD,也不冲突吗。其实,并不是AD不能与别的模块共用一个单片机,完全可以的,还是程序上的冲突,只是因为遇到类似的问题后,你不知道怎么查找原因,加上写程序并不是很熟练。你这也没有悬偿分,连个采纳也不想给呀?

追问

       额,采纳肯定给你啦。。。我AD弄出来了,数据不稳定,可能这种芯片太老了吧

追答

       你说的数据不稳定,是在实物电路上测试的还在仿真图上测试的,在实物上是正常,可在模拟电压输入端并联一个电解电容,会有改善的。

       像这种设计,最好就是在实物上做,因为用仿真能通过的程序到实物可以不行,而且还会出现一些奇怪的现象,就像你遇到的这些现象,在实物是不会发生的。还有在实物上通过的程序,到仿真上去不行了,这种情况下仿真就没有意义了。

       另外还有,AD是可以和LCD一起用的,一点问题也没有,估计还是LCD的程序问题。再你发一个资料,里面有仿真图和程序,不过,这个程序要复杂多了,主要是LCD的程序复杂点。希望对你的设计会有帮助。

       以上就是小编对于proteus亮黄灯怎么解决问题和相关问题的解答了,proteus亮黄灯怎么解决的问题希望对你有用!

标签: proteus亮黄灯怎么解决


【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有