vivado怎么解决Unconstrained Logical Port [DRC UCIO 您所在的位置:网站首页 drc翻译 vivado怎么解决Unconstrained Logical Port [DRC UCIO

vivado怎么解决Unconstrained Logical Port [DRC UCIO

2023-06-09 18:47| 来源: 网络整理| 查看: 265

Vivado生成比特流报错:

[DRC UCIO-1] Unconstrained Logical Port:3 out of 139 logical ports have no user assigned specific location constraint (LOC).

error信息

报错信息如下:[DRC UCIO-1] Unconstrained Logical Port: 3 out of 139 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: rx_sht20, rx_ch2o, and rx_adc.

解决办法

网络上的解决办法如下:

链接: https://blog.csdn.net/u012230668/article/details/105410101/. 这是网上提出的解决办法,我按照这个方法添加完tcl文件仍然无法解决,最后发现是xdc中的约束文件出了问题(注释和约束不能出现在同一行),更改后即能解决。 在这里插入图片描述



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有