【Verilog串口篇2】UART接收模块及Testbench仿真程序

您所在的位置:网站首页 正点原子串口接收 【Verilog串口篇2】UART接收模块及Testbench仿真程序

【Verilog串口篇2】UART接收模块及Testbench仿真程序

2024-07-15 08:44:46| 来源: 网络整理| 查看: 265

【Verilog串口篇2】UART接收模块及Testbench仿真程序

本文讲解FPGA串口通信的 接收模块 Verilog实现,即根据数据链路层协议,完成起始位、数据位、校验位和停止位的接收,通信参数如波特率可由用户配置。

1、接口描述 直接从模块接口描述说起,咋一看和发送模块十分相似,细看二者区别也很明显,发送是“并入串出”而接收是“串入并出”。

module UARTRecv #(parameter CLK_FREQ = 50_000_000, // 时钟频率 BAUD_RATE = 115200, // 波特率 WORD_LENGTH = 8, // 数据位 STOP_BITS = 2, // 停止位 // 2 仅用于发送,多发一个,防止接收端漏帧 PARITY = "NONE" // ODD, EVEN 鸡肋,弃之 ) ( input Clock, // Clock = CLK_FREQ input nRst, input Rx, output reg [WORD_LENGTH-1:0] Word, // Shift Reg, 实时存储接收到的数据位 output reg Cplt // 接收完成,一个时钟周期高电平 );

2、起始信号 对于异步通信,接收方根本不知道发送方何时开始发送,这就需要发送方先通知接收方“我要开始发送了,请做好接收准备”。具体怎么通知呢?在空闲状态,线路为“1”。发送方发送“0”作为起始位,标识数据传输开始。因此接收方需要检测线路从“1”到“0”的跳变,也即下降沿,即为起始信号。 程序中通过移位寄存器缓存四位数据,若前两位为“1”,后两位为“0”,则检测到下降沿。

/* 捕获接收起始信号:下降沿 */ wire recvStart; // 起始标志 reg [3:0] rxBuf; // 用于判断下降沿 always @(posedge Clock or negedge nRst) begin if (!nRst) rxBuf


【本文地址】

公司简介

联系我们

今日新闻


点击排行

实验室常用的仪器、试剂和
说到实验室常用到的东西,主要就分为仪器、试剂和耗
不用再找了,全球10大实验
01、赛默飞世尔科技(热电)Thermo Fisher Scientif
三代水柜的量产巅峰T-72坦
作者:寞寒最近,西边闹腾挺大,本来小寞以为忙完这
通风柜跟实验室通风系统有
说到通风柜跟实验室通风,不少人都纠结二者到底是不
集消毒杀菌、烘干收纳为一
厨房是家里细菌较多的地方,潮湿的环境、没有完全密
实验室设备之全钢实验台如
全钢实验台是实验室家具中较为重要的家具之一,很多

推荐新闻


图片新闻

实验室药品柜的特性有哪些
实验室药品柜是实验室家具的重要组成部分之一,主要
小学科学实验中有哪些教学
计算机 计算器 一般 打孔器 打气筒 仪器车 显微镜
实验室各种仪器原理动图讲
1.紫外分光光谱UV分析原理:吸收紫外光能量,引起分
高中化学常见仪器及实验装
1、可加热仪器:2、计量仪器:(1)仪器A的名称:量
微生物操作主要设备和器具
今天盘点一下微生物操作主要设备和器具,别嫌我啰嗦
浅谈通风柜使用基本常识
 众所周知,通风柜功能中最主要的就是排气功能。在

专题文章

    CopyRight 2018-2019 实验室设备网 版权所有 win10的实时保护怎么永久关闭