数字IC基础:有符号数和无符号数加、减法的Verilog设计

您所在的位置:网站首页 有符号数和无符号数范围怎么求 数字IC基础:有符号数和无符号数加、减法的Verilog设计

数字IC基础:有符号数和无符号数加、减法的Verilog设计

2024-07-09 22:29:34| 来源: 网络整理| 查看: 265

相关阅读

数字IC基础icon-default.png?t=N7T8https://blog.csdn.net/weixin_45791458/category_12365795.html?spm=1001.2014.3001.5482

        本文是对数字IC基础:有符号数和无符号数的加减运算一文中的谈到的有符号数加减法的算法进行Verilog实现,有关算法细节请阅读原文,本文不会过多谈到原理相关问题。

        虽然有符号加减和无符号加减在底层都是使用同样的补码加法器结构,但我们首先分别设计有符号加减法器和无符号加减法器,然后再将其组成一个完整的加减计算单元。

        一个有符号数加减法器的Verilog描述如下所示。

//本加减法器不涉及-8作为减数的情况,-8作为减数需要单独讨论 module signed_adder(input signed [7:0]A, B, input mode, output reg signed [7:0]C, output reg OF); always@(*)begin if(mode == 0) begin //有符号加法 C = A + B; OF = ((A[7] == B[7]) & (C[7] != A[7])); //溢出 end else begin //有符号减法 C = A + (~B) +1'b1; OF = ((A[7] != B[7]) & (C[7] != A[7])); //溢出 end end endmodule

       图1和图2是分别对加法和减法功能进行测试的波形,可以看出在某些情况下结果出现了溢出。 

图1 有符号加法测试的波形

 图2 有符号减法测试的波形

        一个无符号加减法器的Verilog描述如下所示。

module unsigned_adder(input [7:0]A, B, input mode, output reg[7:0]C, output reg CF); reg cout; always@(*)begin if(mode == 0) begin //无符号加法 {cout, C} = A + B; CF = cout; //进位 end else begin //无符号减法 {cout, C} = A + (~B) +1'b1; CF = cout; //借位 end end endmodule

        其中A和B会按照算法中谈到的一样,先补零拓展成9位数(这是自动进行的,进一步的位宽拓展问题可以阅读Verilog基础:表达式位宽的确定(位宽拓展)_verilog定义位宽-CSDN博客这篇文章),然后再按照有符号加减的运算。图3和图4是分别对加法和减法功能进行测试的波形,可以看出在某些情况下结果出现了进位和借位。  

图3 无符号加法测试的波形

 

图4 无符号减法测试的波形 

        现在可以将两者结合,这样一个可以进行有符号数加减法和无符号数加减法的通用计算器就诞生了。

module adder(input [7:0]A, B, input mode, output reg[7:0]C, output reg CF); reg cout; always@(*)begin if(mode == 00) begin //有符号加法 C = A + B; OF = ((A[7] == B[7]) & (C[7] != A[7])); //溢出 end else if(mode == 01) begin //有符号减法 C = A + (~B) +1'b1; OF = ((A[7] != B[7]) & (C[7] != A[7])); //溢出 end if(mode == 10) begin //无符号加法 {cout, C} = A + B; CF = cout; //进位 end else if(mode == 11)begin //无符号减法 {cout, C} = A + {(~B)} +1'b1; CF = !cout; //借位 end end endmodule

        下面是介绍有符号数和无符号数的加减运算的文章。

数字IC基础:有符号数和无符号数的加减运算icon-default.png?t=N7T8https://blog.csdn.net/weixin_45791458/article/details/134537623



【本文地址】

公司简介

联系我们

今日新闻


点击排行

实验室常用的仪器、试剂和
说到实验室常用到的东西,主要就分为仪器、试剂和耗
不用再找了,全球10大实验
01、赛默飞世尔科技(热电)Thermo Fisher Scientif
三代水柜的量产巅峰T-72坦
作者:寞寒最近,西边闹腾挺大,本来小寞以为忙完这
通风柜跟实验室通风系统有
说到通风柜跟实验室通风,不少人都纠结二者到底是不
集消毒杀菌、烘干收纳为一
厨房是家里细菌较多的地方,潮湿的环境、没有完全密
实验室设备之全钢实验台如
全钢实验台是实验室家具中较为重要的家具之一,很多

推荐新闻


图片新闻

实验室药品柜的特性有哪些
实验室药品柜是实验室家具的重要组成部分之一,主要
小学科学实验中有哪些教学
计算机 计算器 一般 打孔器 打气筒 仪器车 显微镜
实验室各种仪器原理动图讲
1.紫外分光光谱UV分析原理:吸收紫外光能量,引起分
高中化学常见仪器及实验装
1、可加热仪器:2、计量仪器:(1)仪器A的名称:量
微生物操作主要设备和器具
今天盘点一下微生物操作主要设备和器具,别嫌我啰嗦
浅谈通风柜使用基本常识
 众所周知,通风柜功能中最主要的就是排气功能。在

专题文章

    CopyRight 2018-2019 实验室设备网 版权所有 win10的实时保护怎么永久关闭