FPGA实战(一)LED闪烁实验

您所在的位置:网站首页 控制一个led灯闪烁的编程和仿真图 FPGA实战(一)LED闪烁实验

FPGA实战(一)LED闪烁实验

2024-07-08 04:05:04| 来源: 网络整理| 查看: 265

来自正点原子的学习笔记

LED闪烁实验 1 LED简介2 硬件设计3 程序设计(1) 创建工程文件(暂不导入源文件)(2) 新建源文件(3)逻辑编写(笔记都在注释里哦)(4) 管脚IO分配(前面跳过了)(5)生成比特流文件(6)硬件调试打开硬件调试窗口连接好硬件,打开电源

1 LED简介

发光二极管

2 硬件设计

核心板原理图 在这里插入图片描述ZYNQ的LED分为PL和PS的部分,入门需要掌握的是PL的LED

3 程序设计

实验任务:使用底板上的两个PL端LED,实现交替闪烁的效果。

本次实验程序设计的思路,假设点亮都时间为0.5s 在这里插入图片描述

下面开始

(1) 创建工程文件(暂不导入源文件)

小白的话建议从我这个博客看起:Vivado 2018.3入门教程:创建工程+新建源文件.

依次点击create project 、next 在这里插入图片描述

在这里插入图片描述注意勾选,现在不指定源文件,待会儿我们自己添加 在这里插入图片描述我的ZYNQ7020的开发板,对应的芯片型号是xc7z020clg400-2 2代表速度等级,丝印上是看不到的。选1其实也可以

再依次点击next、finish 在这里插入图片描述 到这里,工程已经创建完毕了

(2) 新建源文件

添加或者新建一个设计源文件

在这里插入图片描述 点击create file 在这里插入图片描述 输入创建的源文件的名称

在这里插入图片描述 点击finish 在这里插入图片描述 然后自动弹出来这个框,用于指定输入输出端口(暂时不配置IO) 在这里插入图片描述 我们先不在这里定义了,点击yes 在这里插入图片描述 现在可以看到,源文件已经创建成功了 .v表示使用的是Verilog语言

然后双击打开 在这里插入图片描述把前面部分全删掉 第一行是有关编译的,跟仿真有关,可以先删除掉

`timescale 1ns / 1ps

删完后,只剩底下一个module模块 在这里插入图片描述

(3)逻辑编写(笔记都在注释里哦) module led_twinkle2( //这里面定义输入输出端口,如果刚才定义了这儿就会自动生成 ); //编写用户逻辑代码 endmodule//模块结束

下面开始编写逻辑

module led_twinkle2( //这里面定义输入输出端口,如果刚才定义了这儿就会自动生成 input clk, input rst_n,//_n是低电平有效 output [1:0] led//建议对齐 ); //编写用户逻辑代码 reg [25:0] cnt ; //此处需要计算,50MHz的时钟频率,1s中就要计数50000000次,换算成二进制的位宽为26位 //(2)部分 assign led = (cnt < 26'd2500_0000)? 2'b01 : 2'b10 ; //(1)计数器部分 always @ (posedge clk or negedge rst_n)begin if(!rst_n)//复位信号低电平有效的阶段 //当复位的时候,需要给计数器清零 cnt autoi connect 在这里插入图片描述 这个表示识别出来了! 在这里插入图片描述 在这里插入图片描述直接点击即可 在这里插入图片描述最后,应该就能看到两个等交替闪烁的现象了!

注意,如果在硬件调试窗口改动代码,是没有用的! 关键在于,你已经生成了一个比特流文件(类似于编译) 修改代码当然没有用了

所以正确做法:(硬件调试期间)如果修改了代码,那就得重新生成了新的比特流文件了

至于每次生成比特流文件的时间 没办法咯!



【本文地址】

公司简介

联系我们

今日新闻


点击排行

实验室常用的仪器、试剂和
说到实验室常用到的东西,主要就分为仪器、试剂和耗
不用再找了,全球10大实验
01、赛默飞世尔科技(热电)Thermo Fisher Scientif
三代水柜的量产巅峰T-72坦
作者:寞寒最近,西边闹腾挺大,本来小寞以为忙完这
通风柜跟实验室通风系统有
说到通风柜跟实验室通风,不少人都纠结二者到底是不
集消毒杀菌、烘干收纳为一
厨房是家里细菌较多的地方,潮湿的环境、没有完全密
实验室设备之全钢实验台如
全钢实验台是实验室家具中较为重要的家具之一,很多

推荐新闻


图片新闻

实验室药品柜的特性有哪些
实验室药品柜是实验室家具的重要组成部分之一,主要
小学科学实验中有哪些教学
计算机 计算器 一般 打孔器 打气筒 仪器车 显微镜
实验室各种仪器原理动图讲
1.紫外分光光谱UV分析原理:吸收紫外光能量,引起分
高中化学常见仪器及实验装
1、可加热仪器:2、计量仪器:(1)仪器A的名称:量
微生物操作主要设备和器具
今天盘点一下微生物操作主要设备和器具,别嫌我啰嗦
浅谈通风柜使用基本常识
 众所周知,通风柜功能中最主要的就是排气功能。在

专题文章

    CopyRight 2018-2019 实验室设备网 版权所有 win10的实时保护怎么永久关闭