UVM中设置打印信息的冗余度阈值和重载打印信息的严重性

您所在的位置:网站首页 代码冗余度 UVM中设置打印信息的冗余度阈值和重载打印信息的严重性

UVM中设置打印信息的冗余度阈值和重载打印信息的严重性

2024-07-16 00:02:54| 来源: 网络整理| 查看: 265

设置打印信息的冗余度阈值 UVM通过冗余度级别的设置提高了仿真日志的可读性。在打印信息之前,UVM会比较要显示信息的冗余度级别与默认的冗余度阈值,如果小于等于阈值,就会显示,否则不会显示。默认的冗余度阈值是UVM_MEDIUM,所有低于等于UVM_MEDIUM(如UVM_LOW)的信息都会被打印出来。 可以通过get_report_verbosity_level函数得到某个component的冗余度阈值:

virtual function void connect_phase(uvm_phase phase); $display("env.i_agt.drv's verbosity level is %0d", env.i_agt.drv.get_report_verbosity_level()); endfunction

这个函数得到的是一个整数,它代表的含义如下所示:

typedef enum { UVM_NONE = 0, UVM_LOW = 100, UVM_MEDIUM = 200, UVM_HIGH = 300, UVM_FULL = 400, UVM_DEBUG = 500 } uvm_verbosity;

UVM提供set_report_verbosity_level函数来设置某个特定component的默认冗余度阈值。 在base_test中将driver的冗余度阈值设置为UVM_HIGH(UVM_LOW、UVM_MEDIUM、UVM_HIGH的信息都会被打印)代码为:

//base_test.sv 16 virtual function void connect_phase(uvm_phase phase); 17 env.i_agt.drv.set_report_verbosity_level(UVM_HIGH); … 21 endfunction

由于需要牵扯到层次引用,所以需要在connect_phase及以后的phase才能调用这个函数。如果不牵扯到任何层次引用,如设置当前component的冗余度阈值,那么可以在connect_phase之前调用。 set_report_verbosity_level只对某个特定的component起作用。 UVM同样提供递归的设置函数set_report_verbosity_level_hier,如 把env.i_agt及其下所有的component的冗余度阈值设置为UVM_HIGH的代码为: env.i_agt.set_report_verbosity_level_hier(UVM_HIGH); set_report_verbosity_level会对某个component内所有的uvm_info宏显示的信息产生影响。

如果这些宏在调用时使用了不同的ID: uvm_info("ID1", "ID1 INFO", UVM_HIGH) uvm_info(“ID2”, “ID2 INFO”, UVM_HIGH) 那么可以使用set_report_id_verbosity函数来区分不同的ID的冗余度阈值: env.i_agt.drv.set_report_id_verbosity("ID1", UVM_HIGH); 经过上述设置后“ID1 INFO”会显示,但是“ID2 INFO”不会显示。 这个函数同样有其相应的递归调用函数,其调用方式为: env.i_agt.set_report_id_verbosity_hier("ID1", UVM_HIGH); 除了在代码中设置外,UVM支持在命令行中设置冗余度阈值:

+UVM_VERBOSITY=UVM_HIGH 或者: +UVM_VERBOSITY=HIGH

这两个命令行参数是等价的,即可以把冗余度级别的前缀“UVM_”省略。 上述的命令行参数会把整个验证平台的冗余度阈值设置为UVM_HIGH。它几乎相当于是在base_test中调用 set_report_verbosity_level_hier函数,把base_test及以下所有component的冗余度级别设置为UVM_HIGH: set_report_verbosity_level_hier(UVM_HIGH) 对不同的component设置不同的冗余度阈值非常有用。在芯片级别验证时,重用了不同模块(block)的env。由于个人习惯的不同,每个人对信息冗余度的容忍度也不同,有些人把所有信息设置为UVM_MEDIUM,也有另外一些人喜欢把所有的信息都设置为UVM_HIGH。通过设置不同env的冗余度级别,可以更好地控制整个芯片验证环境输出信息的质量。

重载打印信息的严重性 重载是深入到UVM骨子里的一个特性。UVM默认有四种信息严重性:UVM_INFO、UVM_WARNING、UVM_ERROR、UVM_FATAL。这四种严重性可以互相重载。如果要把driver中所有的UVM_WARNING显示为UVM_ERROR,可以使用如下的函数:

//base_test.sv 16 virtual function void connect_phase(uvm_phase phase); 17 env.i_agt.drv.set_report_severity_override(UVM_WARNING, UVM_ERROR); 18 //env.i_agt.drv.set_report_severity_id_override(UVM_WARNING, "my_driver", UVM_ERROR); 19 endfunction

假如在my_driver中有如下语句:

//my_driver.sv 29 `uvm_warning("my_driver", "this information is warning, but prints as UVM_ERROR")

如果不加任何设置,那么输出应该是: UVM_WARNING my_driver.sv(29) @ 1100000: uvm_test_top.env.i_agt.drv [my_driver]this information is warning 但是经过代码清单3-58的设置后,输出变为: UVM_ERROR my_driver.sv(29) @ 1100000: uvm_test_top.env.i_agt.drv [my_driver] this information is warning 重载严重性可以只针对某个component内的某个特定的ID起作用: env.i_agt.drv.set_report_severity_id_override(UVM_WARNING, "my_driver", UVM_ERROR); 与设置冗余度不同,UVM不提供递归的严重性重载函数。严重性重载用的较少,一般的只会对某个component内使用,不会递归的使用。 重载严重性也可以在命令行中实现,其调用方式为: +uvm_set_severity=,,, 如代码清单3-60可以使用如下的命令行参数代替: +uvm_set_severity="uvm_test_top.env.i_agt.drv,my_driver,UVM_WARNING,UVM_ERROR" 若要设置所有的ID,可以在id处使用_ALL_: +uvm_set_severity="uvm_test_top.env.i_agt.drv,_ALL_,UVM_WARNING,UVM_ERROR"

uvm_verbosity sim_verbosity = UVM_LOW; if(!$value$plusargs("UVM_VERBOSITY=%s", sim_verbosity)) begin set_report_verbosity_level_hier(sim_verbosity); end


【本文地址】

公司简介

联系我们

今日新闻


点击排行

实验室常用的仪器、试剂和
说到实验室常用到的东西,主要就分为仪器、试剂和耗
不用再找了,全球10大实验
01、赛默飞世尔科技(热电)Thermo Fisher Scientif
三代水柜的量产巅峰T-72坦
作者:寞寒最近,西边闹腾挺大,本来小寞以为忙完这
通风柜跟实验室通风系统有
说到通风柜跟实验室通风,不少人都纠结二者到底是不
集消毒杀菌、烘干收纳为一
厨房是家里细菌较多的地方,潮湿的环境、没有完全密
实验室设备之全钢实验台如
全钢实验台是实验室家具中较为重要的家具之一,很多

推荐新闻


图片新闻

实验室药品柜的特性有哪些
实验室药品柜是实验室家具的重要组成部分之一,主要
小学科学实验中有哪些教学
计算机 计算器 一般 打孔器 打气筒 仪器车 显微镜
实验室各种仪器原理动图讲
1.紫外分光光谱UV分析原理:吸收紫外光能量,引起分
高中化学常见仪器及实验装
1、可加热仪器:2、计量仪器:(1)仪器A的名称:量
微生物操作主要设备和器具
今天盘点一下微生物操作主要设备和器具,别嫌我啰嗦
浅谈通风柜使用基本常识
 众所周知,通风柜功能中最主要的就是排气功能。在

专题文章

    CopyRight 2018-2019 实验室设备网 版权所有 win10的实时保护怎么永久关闭