Verilog代码验证的全面性与代码覆盖率分析

您所在的位置:网站首页 verilog覆盖率包括哪些 Verilog代码验证的全面性与代码覆盖率分析

Verilog代码验证的全面性与代码覆盖率分析

2024-07-14 15:41:50| 来源: 网络整理| 查看: 265

对于复杂的设计来说,Verilog代码覆盖率检查是检查验证工作是否完全的重要方法,代码覆盖率(code coverge)可以指示Verilog代码描述的功能有多少在仿真过程中被验证过了,代码覆盖率分析包括以下分析内容。

1、语句覆盖率(statement coverge),又称为声明覆盖率,用于分析每个声明在验证过程中执行的次数。例如:

always@(areq0 or areq1)     begin      gnt0=0; //声明      if(areq0==1)     gnt=1; //声明2     end

仿真过程结束后将给出报告,说明整个仿真过程中每个声明执行了多少次。如果某些声明没有执行过,则需要进行补充仿真。

2、路径覆盖率(path coverge),在设计中往往使用分支控制语句来根据不同的条件进行不同的操作,路径覆盖率分析可以指出所有分支是否执行了,路径覆盖率分析主要以if-else语句的各种分支为分析对象。例如:

If(areq0)      begin     ……     end     If(areq1)     begin     ……..     End

这段代码中存在4条路径,分别对应着从areq0=0,areq0=1,areq1=0,areq1=1,经覆盖率就是要分析整个验证过程中所有分支路径都曾经出现过。

3、状态机覆盖率(state machine coverge)用于统计在仿真过程中状态机发生了哪些跳转,这种分析可以防止验证过程中某些状态跳转从来没有发生过,从而造成设计隐患。

4、触发覆盖率(triggering coverge)分析用于检查在仿真过程中某些局部电路是否发生过由于某个信号的变化而触发进行运算和操作的情况。例如:

always@(areq0 or areq1 or areq2 )     begin      ……..     End

触发覆盖率分析会检查该电路是否由于areq0、 areq1 、areq2的变化而被执行,如果仿真过程中没有出现过因某个信号(如areq2)的变化而执行电路功能的情况,那么就会给出提示,验证者需要在testbench中补充测试内容,以避免存在设计缺陷。

5、表达式覆盖率分析(expression coverge),用于检查布尔表达式验证的充分性。例如:下面是连续赋值语句:

assign areq= areq0|| areq1;

可能出现的信号值组合如下:

areq0=0 areq1=0     areq0=0 areq1=1     areq0=1 areq1=0     areq0=1 areq1=1

表达式覆盖率分析,该分析针对的是这些组合在整个验证过程中是否出现过,并给出那些组合从未出的。



【本文地址】

公司简介

联系我们

今日新闻


点击排行

实验室常用的仪器、试剂和
说到实验室常用到的东西,主要就分为仪器、试剂和耗
不用再找了,全球10大实验
01、赛默飞世尔科技(热电)Thermo Fisher Scientif
三代水柜的量产巅峰T-72坦
作者:寞寒最近,西边闹腾挺大,本来小寞以为忙完这
通风柜跟实验室通风系统有
说到通风柜跟实验室通风,不少人都纠结二者到底是不
集消毒杀菌、烘干收纳为一
厨房是家里细菌较多的地方,潮湿的环境、没有完全密
实验室设备之全钢实验台如
全钢实验台是实验室家具中较为重要的家具之一,很多

推荐新闻


图片新闻

实验室药品柜的特性有哪些
实验室药品柜是实验室家具的重要组成部分之一,主要
小学科学实验中有哪些教学
计算机 计算器 一般 打孔器 打气筒 仪器车 显微镜
实验室各种仪器原理动图讲
1.紫外分光光谱UV分析原理:吸收紫外光能量,引起分
高中化学常见仪器及实验装
1、可加热仪器:2、计量仪器:(1)仪器A的名称:量
微生物操作主要设备和器具
今天盘点一下微生物操作主要设备和器具,别嫌我啰嗦
浅谈通风柜使用基本常识
 众所周知,通风柜功能中最主要的就是排气功能。在

专题文章

    CopyRight 2018-2019 实验室设备网 版权所有 win10的实时保护怎么永久关闭