IC设计学习路线 您所在的位置:网站首页 模拟ic入门 IC设计学习路线

IC设计学习路线

2024-06-29 00:25| 来源: 网络整理| 查看: 265

一 前言

    (一)自我唠叨:

         一直以来都是这也想学那也想学,搞个两三个月又放弃了,开始搞新的,从来没有任何东西超过一年,更不要说坚持三年。现在经历的事情多了,学过各种编程语言明白了要想学会一个专业技能,至少是三年。得到软件上吴军老师,对于学习的原则为系统性学习,谷歌方法论223封信《系统学习的方法》。简单总结系统学习,一年理论(基础知识,某方向应用)+一年实践(项目)。详细例子,如下博客,关于FPGA的学习路线:

https://blog.csdn.net/k331922164/article/details/44626989

     (二)对于芯片研发的应聘要求的归纳

完成模块从算法/协议到架构的实现(重点);

          协议就是802.11-2020协议(四千多页为标准)--------举例就是根据其中信道接入---EDCA进行硬件电路架构或原理的实现。

          1.1实际就是,能够理解算法并硬件实现,如图像细节增强不光能够理解,图像细节增强的原理,如就是对像素的调整(通过滤波,线性变换)------ https://www.cnblogs.com/cutewei/p/14967931.html

          (上面链接为图像算法的理解及仿真实践)

        1.2对于协议到架构的实现(目前先举一个实践)

           https://www.cnblogs.com/cutewei/p/16990524.html

        上面是一个项目的应用,大概Verilog语言有3千行,重点就是详细理解设计的需求及原理后:根据开发流程:文档的设计,代码的照图施工,验证的debug过程

        1.3再举一个简单的例子的应用

         https://www.cnblogs.com/cutewei/p/17521047.html

        对于除法器,要想硬件实现,则首先明白除法的原理,硬件实现的方式(除法就是做减法):如试商法,串行除法器。

                                           算法--à硬件架构的映射

         2.完成模块的RTL实现及相关验证,FPGA调试等工作

            对硬件架构(模块连接框图/状态机)-----Verilog实现,搭建验证平台验证功能,FPGA板子调试达到预期的功能。

         3.完成模块的PPA(面积,时序,功耗)的优化(重点)

 

         4.利用脚本提高开发效率

 

   (三)先给出工作多年的大神的建议:

第一阶段为语言基础:verilog相关 《verilog数字系统设计教程》,第二版,这些得掌握对于IC设计;验证相关为《systemverilog验证测试平台编写指南》,《芯片验证漫游指南》,等,对于设计这些了解即可,达到明白SV验证平台是怎么回事。

第二阶段为专业应用---通信基础:

《通信ic设计》《通信原理》这两本可以重点关注下,重点了解通信系统都包含哪些,基本原理是什么。推荐西电曹丽娜的教材和B站视频。

第三阶段为时序---:《综合与时序分析的设计约束》这个了解下即可,明白基本原理即可,后期能指导开发即可

推荐网站: https://devopedia.org/

https://www.sharetechnote.com/

https://www.techplayon.com/

https://docs.cocotb.org/en/v1.6.0/

https://github.com/cocotb/cocotb

电子书 https://book4you.org/

 第四阶段网络协议:802.11

,

 

启示:学习IC内容真的蛮多,循序渐进都得花一年时间才能打扎实。

二  IC学习路线

     这一部分为自己自学和探索的感悟,参考胡说IC菜鸟工程师的IC数字设计的必备知识,如下

1. ASIC基础

   《 数字集成电路-电路、系统与设计(第二版)》,为数字集成电路的经典教材。(阎石第五版):全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有