5.4 您所在的位置:网站首页 巴克码发生器verilog 5.4

5.4

2023-07-29 04:41| 来源: 网络整理| 查看: 265

文章目录 前言一、5.4 -1 序列检测器拓展二、FPGA-quartus-仿真步骤1.项目建立2.vt文件的建立与路径设置 三、序列检测器拓展1. 实例2. Moore Mealy型

前言

提示:本篇仅为个人学习笔记,如有错误欢迎斧正 本篇以教材习题moore型的序列检测器为例

数字电路与程序设计

一、5.4 -1 序列检测器拓展

在例5.3和例5.4序列检测器的基础上,增加当收到的脉冲序列数据为0时,则记录后八位数据的功能。

二、FPGA-quartus-仿真步骤 1.项目建立

这里我们以此题为例街上FPGA-quartus-仿真步骤:

源码如下:

module seq_det_moore ( input clk , reset, input din, output reg [7:0] data=0,//8位寄存器 output reg [7:0] data_out,//输出 output reg[ 2:0 ] cs , output reg[ 2:0 ] nst , output reg[3:0] n , output reg sout ) ; // 状态声明 localparam[ 2:0 ]//局部参数 声明常量 s0 = 3'b000 , s1 = 3'b001 , s2 = 3'b010 , s3 = 3'b011 , s4 = 3'b100 , s5 = 3'b101 ;//存数据操作 // reg[ 2:0 ] cs , nst ; //我这里注释是以为我在上面ouput了 因为我想在仿真里面看见我的参数值 // reg[3:0] n ; initial begin cs=s0; nst=s0; data=0; sout=0; n=0; end //状态更新 always@ (posedge clk , posedge reset ) begin if ( reset ) begin cs


【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有