半导体前道设备行业深度研究:国内前道设备迎本土扩产东风 (报告出品方:国信证券)1 前道半导体设备:半导体制造核心工艺设备半导体制造分为前道工艺(Front End)和后道工艺...  您所在的位置:网站首页 国内ic生产商 半导体前道设备行业深度研究:国内前道设备迎本土扩产东风 (报告出品方:国信证券)1 前道半导体设备:半导体制造核心工艺设备半导体制造分为前道工艺(Front End)和后道工艺... 

半导体前道设备行业深度研究:国内前道设备迎本土扩产东风 (报告出品方:国信证券)1 前道半导体设备:半导体制造核心工艺设备半导体制造分为前道工艺(Front End)和后道工艺... 

2023-11-12 17:09| 来源: 网络整理| 查看: 265

来源:雪球App,作者: 一起涨停板,(https://xueqiu.com/5254024066/211588315)

(报告出品方:国信证券)

1 前道半导体设备:半导体制造核心工艺设备

半导体制造分为前道工艺(Front End)和后道工艺(Back End),其中前道 工艺指在晶圆上形成器件的工艺过程,也称晶圆制造,后道工艺指将晶圆上的 器件分离,封装的工艺过程。当前半导体产业界 IDM(垂直整合)和 “fabless+foundry+OSAT”分工两大模式中,IDM 和 foundry 具备前道工艺生 产线,其中头部代表企业如 IDM 英特尔、三星、海力士、美光、德州仪器,foundry 如台积电、联电、格罗方德、中芯国际、华虹半导体等。

前道制造工艺通过物理、化学工艺步骤在晶圆表面形成器件,并生成金属导线 将器件相互连接形成集成电路。前道工艺共有七大工艺步骤,分别为氧化/扩散 (Thermal Process)、光刻(Photo-lithography)、刻蚀(Etch)、离子注入(Ion Implant)、薄膜生长(Dielectric and Metal Deposition)、清洗与抛光(Clean & CMP)、金属化(Metalization),通过循环重复上述工艺,最终在晶圆表面形成 立体的多层结构,实现整个集成电路的制造。由于制程提升,晶圆上集成的器 件和电路复杂度和密度随之提升,先进逻辑芯片和存储芯片需要上千道工序去 完成芯片的制造。

前道设备为投资重点,供给端高度集中于美、日、欧头部厂商

半导体前道制造设备是半导体制造设备的投资重点。根据半导体制造中前道工 艺(晶圆制造)和后道工艺(封装测试)之分,应用于集成电路领域的设备通 常可分为前道工艺设备和后道工艺设备两大类,其中前道设备市场占半导体设备主要市场份额,根据 SEMI 数据,2020年全球晶圆制造(前道)设备市场占比为 86.1%,后道封装及测试设备分占 5.4%和 8.5%,预计 2021-2023 年该比重也将维持在 86%左右。

薄膜生长、刻蚀和光刻设备为半导体前道制造核心设备,其市场规模最大。对 应主要工艺,半导体前道设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、清洗设备、离子注入设备、薄膜沉积设备、机械抛光设备等,其中光刻、刻蚀和薄膜生长设备市场规模最大。根据 Gartner 数据,2020 年全球半导体前道薄 膜生长、刻蚀和光刻设备市场规模分别为 139.2 亿美元、136.9 亿美元和 135.4 亿美元,分别以 21.5%、21.1%和 21.9%市占率位居前三。

全球半导体前道设备由美、日、欧企业主导供给端,中国厂商市场占比较低。根据 Gartner 数据,2020年前十大前道半导体设备厂商中 3 家来自美国,总计 市占率 40%;4 家来自日本,市占率 19.5%;荷兰的 ASML 由于其在浸润式 DUV 光刻机及 EUV 垄断地位,以 18.1%市场份额占据全球第二。中国主要前 道半导体设备厂商北方华创、屹唐旗下 Mattson、中微公司和盛美总计仅占全 球 1.5%市场份额。

扩产周期内,半导体设备龙头有望迎戴维斯双击。根据历年财报数据,2016 年 至 2021 年,全球前道半导体设备龙头应用材料、ASML 和泛林营收分别增长 1.1、2.1、1.5 倍,净利润增长 2.4、3.3、3.3 倍,同期(2016 年 12 月 31 日 至 2021 年 12 月 31 日),市值分别增长 3、5.8、4.9 倍。

2022 年全球半导体设备市场规模有望再创新高

半导体设备行业随半导体整体需求呈显著周期成长性。半导体下游需求的周期 性成长是半导体设备行业周期性主要因素,根据 SEMI 和 WSTS 数据,2005 年至 2020 年二十一年间,有十九年全球半导体设备销售额同比增长方向与全 球半导体销售额同比增长方向相同,且设备增长/下降幅度均显著高于半导体销 售额幅度,显示更强弹性。

2022 年全球半导体销售额有望再次突破新高。根据 WSTS 的数据,全球半导 体销售额由 1999 年的 1494 亿美元提高到了 2020 年的 4404 亿美元,预计 2021、2022 年还将分别增长 19.7%、8.8%,达到 5272 亿美元、5734 亿美元。

2022 年全球半导体设备销售额有望连续刷新历史记录。根据 SEMI 预计,2021 年原始设备制造商的半导体制造设备全球销售总额将达到 1030 亿美元的新高,比 2020 年的 710 亿美元的历史记录增长 44.7%。预计 2022 年全球半导体制造 设备市场总额将扩大到 1140 亿美元,有望连续第三年创历史新高。

从供给端来看,2021 年北美半导体设备销售额单月连续创历史新高,日本半导 体设备销售额大幅增长。根据 SEMI 数据,2021 年北美半导体设备销售额为 429.93 亿美元,相较 2020 年、2019 年的 297.83 亿美元、242.89 亿美元增长 44.4%和 77.0%,其中 1-7 月连续刷新单月历史新高。根据 SEMI 和 SEAJ 数 据,2021 年日本半导体设备销售额达到 30767.56 亿日元(约合 267.1 亿美元),较 2020 年和 2019 年增长 37.1%和 51.3%。

从需求端来看,中国大陆、中国台湾和韩国成为全球前三大半导体设备市场。根据 SEMI 及 SEAJ 数据,2020 年中国半导体设备销售额从 2005 年的 13.3 亿 美元增加到 2020 年的 187.2 亿美元,占全球比例由 12%提升到 26%,首次成 为全球半导体设备第一大销售市场。2021 年前三季度中国半导体设备销售额为 211.1 亿美元,占比 30%,继续维持全球第一。中国台湾和韩国占比 24%和 23% 分列第二三。北美、欧洲、日本占比从 2005 年 17.5%、9.9%、24.9%分别下 滑至 7.5%、3.0%和 8.6%。

中国大陆自 2013 年本土和外资的晶圆代工、存储器项目以及封测项目同时新 建、扩建推动设备市场总体保持快速增长;中国台湾主要由台积电对先进制程 不断投入拉动设备投资;韩国设备投资主要由全球存储巨头三星和海力士拉动,因此设备市场规模随存储需求波动,且波动幅达较大。

前道设备规模近千亿美元,Memory 和 foundry 扩产是投资主推力

2022年全球前道晶圆厂设备支出有望连续第三年创历史新高。根据SEMI数据,2019 由于存储器投资合理回落造成负增长外,在 2016 年至 2021 年全球前道 晶圆厂设备支出呈现总体持续增长趋势(CAGR 18%),并于 2020 和 2021 年 连续创历史新高(635 亿美元、914 亿美元)。

从资本开支来看,存储芯片制造商(主要为 IDM)和 foundry 是主要投资方。根据 Omdia 数据,2009 年至 2016 年,存储芯片制造商平均每年资本开支占比 为 33.7%,在 2018 年存储芯片扩产最高峰时攀升至 60.3%,对应 666 亿美元资 本开支,Omdia 预计 2022-2025 年存储芯片资本开支将触底后逐步回升,占据 年均 43.9%资本开支,对应 520 亿美元/年。全球 foundry 资本开支占比从 2009 年 20.4%提升至 2020 年 24.1%,Omdia 预计 2022 年-2025 年 foundry 资本开 支占比将提升至年均 33.1%,对应 390 亿美元/年。SEMI 则预计 foundry 部分 占 2022 的总支出的 46%,超过 memory 的 37%。

从晶圆产能增长看,本轮产能扩产幅度最大亦为 foundry 和存储器制造商。根 据 SEMI 数据,截止 2021 年第三季度,全球 Memory 和 foundry 月产能为 768.9 万片和 821.6 万片折合 8 寸晶圆,较 2019 年第一季度分别增长 58.6 万片和 114.8 万片折合 8 寸晶圆,分别占全行业新增产能的 21.9%和 42.9%。

先进制程推动 foundry 单位产能设备投资大幅增长。在摩尔定律的推动下,元 器件集成度的大幅提高要求集成电路线宽不断缩小,导致生产技术与制造工序 愈为复杂,制造成本呈指数级上升趋势。例如采用昂贵的极紫外光刻机(EUV),或采用多重模板工艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,使得 薄膜沉积和刻蚀次数显著增加,意味着集成电路制造企业需要投入更多且更先 进的光刻机、刻蚀设备和薄膜沉积设备等,造成巨额的设备投入。根据 IBS 统 计,随着技术节点的不断缩小,集成电路制造的设备投入呈大幅上升的趋势。以 5 纳米技术节点为例,其投资成本高达数百亿美元,是 14 纳米的两倍以上,28 纳米的四倍左右。

新兴应用的推陈出新扩大了 foundry 成熟制程、特色工艺的市场需求。特色工 艺主要包括图像传感器、指纹识别、特殊存储、嵌入式非易失性存储器、功率 分立器件、模拟和电源管理 IC、高压、射频和微机电系统等,一般以 28nm 及 以上工艺制造。特色工艺多数具备产品研发投入较低,不依赖于先进设备和先进 技术,以及产品门类繁多等特点。特色工艺正得到全球主要晶圆代工厂的关注,成为半导体制造领域的另一个重要发展机遇。

根据 Yole 数据显示,成熟制程的需求增长主要由电源管理、CIS、射频器件等 需求驱动。随着 5G、新能源汽车、物联网的渗透率提升将带动射频器件、CIS 芯片和电源管理芯片市场规模提升,加大成熟制程的晶圆需求。根据 Yole 预计 到 2023 年全球成熟制程晶圆需求为 6640 万片(以 8 英寸计),其中电源管理 芯片消耗最多占比为 57%,其次为 CIS 芯片占比为 27%,射频器件占比为 11%,而增速最快的主要为射频及 CIS 芯片需求。

全球成熟制程强需求促使全球主要晶圆代工企业进入成熟制程扩产周期。IHS Markit 预测,28nm 及以上成熟制程 2025 年市场规模可达 431 亿美元,主 要应用于 MCU、移动设备、物联网、汽车电子等领域。应对新兴领域成熟制 程芯片需求提升,台积电、联电、格芯、力积电、中芯国际、华虹半导 体等全球主要晶圆代工企业正在进行或规划成熟制程产能扩充。

存储芯片方面,DRAM 工艺节点进入 10 纳米级别及 3D NAND 层数增长推动 产能扩充的资本开支和设备投资不断增长。DRAM 方面,DRAM 最小特征尺 寸(内存单元阵列激活区的“半间距”的尺寸)自 2017 年开进入 10nm 级别,目前已进入 1znm 节点,随着节点的不断缩小如同先进逻辑制程一样设备投入 亦大幅增长。NAND 方面,随着 3D NAND 取代 2D NAND 成为主流,并且层 数不断推进至 192 层,薄膜沉积和刻蚀等工序制造工艺步骤大幅增长,设备投 入相应不断增长。(报告来源:未来智库)

本土需求、外部因素催生本土扩产强周期,国产前道设备进入快车道

中国是全球最大的半导体市场,但国产化率较低。我国是全球半导体销售规模 最大的市场,根据 SIA 的数据,2020 年全球半导体市场规模为 4360 亿美元,中国半导体市场规模为 1512 亿美元,占比 35%。中国半导体本土企业,相比 海外公司有着地理位置、文化交流等优势,能够更好的为本地客户提供支持。

与市场规模全球第一形成鲜明对的是我国半导体产能不足,自给率明显偏低。根据 IC Insights 的数据,2020 年中国半导体企业仅占全球半导体 5%的份额,其中 IDM 低于 1%,Fabless 相对占比较高,为 15%。从国产化率来看,2020 年中国芯片市场规模为 1430 亿美元,中国制造的芯片价值 227 亿美元,占比 15.9%,但这里面还包括了海外半导体大厂在中国大陆设立的厂商。若仅考虑 总部在中国的企业,国产化率仅 5.8%,未来国产替代空间广阔。

从来料加工驱动逐步过渡到本土创新驱动,复杂国际环境下半导体供应链安全 至关重要。随着中国进入经济升级的时代,我国数字经济规模已超过 5 万亿美 元(中国信通院,2020 年数据),5G、AIoT、新能源汽车等应用创新逐步走在 世界前列,我们认为国内半导体需求增长推力从过去以来料加工、组装电子产 品的“世界工厂”模式驱动过渡到本土创新驱动。因此,在中美贸易摩擦升级 和全球新冠疫情影响下,作为电子信息关键元器件的半导体供应链安全至关重 要。

本土芯片设计产业进入爆发期,晶圆制造需求有望不断扩大。在政策支持下,大量社会资本开始涌入半导体行业,根据云岫资本的统计,2020 年我国半导体 行业股权投资 413 起,约是 2019 年的两倍,投资金额超 1400 亿元,相比 2019 年约 300 亿人民币的投资额增长近 4 倍。在此情况下,我国半导体企业数量激 增,2020 年我国设计企业数量达 2218 家,是 2015 年的 3 倍。另一方面,出于提升自身产品竞争力、供应链安全等目的,作为已经成长起来的本土消费 电子制造商、互联网厂商、OEM、通信设备等业外厂商纷纷投资进入半导体设 计领域。在此背景下,本土晶圆制造需求有望随之不断扩大。

国产先进存储芯片技术实现突破,未来五年有望产能显著提升。截至 2021 年,国内先进 DRAM 制造商长鑫存储已开始商业量产 19nm DDR4 DRAM 产品,长 江存储实现商业量产 64 层 3D NAND flash 芯片,开始商业量产 128 层 3D NAND。根据 Omdia 数据,2021 年长鑫存储和长江存储产能合计仅占全球约 2.5%,根据 Yole 预计未来五年中国存储芯片产能年均增长 40-50%,形成较高 的市场竞争力。

中国晶圆制造扩产大周期已拉开。根据 SIA 数据,仅 2021 年,中国本土厂商 宣布了 28 个新增制造产线项目,涉及总投资达 260 亿美元,其中既包括了总 投资 89 亿美元和 24 亿美元的中芯国际 28nm 及以上成熟 foundry 生产线,也 包括了如众多模拟、分立器件、化合物半导体产线以及实验线。根据前道设备 占据 70%-80%的晶圆产线建设成本,结合建厂时间预测,仅 2021 年新增产线 建设项目有望在 2021-2024 年释放总计 182亿-208亿美元的前道设备市场需求。

中国晶圆制造扩产周期有望延续多年,本土前道设备部分环节取得突破有望份 额显著提升。根据 Omdia 预测,2021-2025 年中芯国际、华虹、长江存储、长 鑫存储、华润微等本土主要晶圆制造厂商每年资本开支合计将继续维持在 110-130 亿美元,加上其他 IDM、圆制造项目主体在内,有望达到 150-200 亿 美元,对应每年释放超过 120-160 亿美元前道设备需求。根据芯谋研究的统计,2020 年中国晶圆厂设备采购中仅 7%来自于中国企业,由于以北方华创、中微 公司、盛美、屹唐等我国半导体设备企业正在逐步突破,甚至在部分细分市场 全球领先,我们认为,在国产化和中国大陆晶圆厂扩建的大背景下,本土前道 设备企业有望获得突破成长新机遇。

2 前道设备细分赛道梳理:前道设备国产化曙光渐显

光刻: ASML 光刻机一枝独秀,芯源微领衔涂胶/显影国产替代

光刻工艺是半导体集成电路制造的核心图形(patterning)工艺。光刻的基本 原理是将对光敏感的光刻胶旋涂在晶圆上,在表面形成一层薄膜,光源透过光 罩(掩模版)照射在光刻胶上,使得光刻胶选择性的曝光,接着对光刻胶显影,完成光罩上电路特定层的图形的转移。

经典八步基本工艺即衬底的准备、光刻胶涂覆、软烘焙、曝光、曝光后烘培、显影、硬烘焙和显影检测,涉及主要设备有光刻机、涂胶显影设备、清洗设备 和量测设备。在集成电路制造工艺中,光刻工艺的成本约为整个芯片制造工艺 的 35%,并且耗费时间约占整个芯片工艺的 40%-60%。

光刻机:ASML 一家独大地位继续稳固,上海微电子肩负国产化破局使命

光刻机是光刻工艺对准和曝光的核心设备。光刻机通过一系列的光源能量、形 状控制手段,将光束透射过画着线路图的掩模,经物镜补偿各种光学误差,将 线路图成比例缩小后映射到硅片上,然后使用化学方法显影,得到刻在硅片上 的电路图。光刻机的主要性能指标有:支持基片的尺寸范围,分辨率、对准精 度、曝光方式、光源波长、光强均匀性、生产效率等。

投影透镜的分辨率、对准精度及吞吐量是光刻机系统三大关键指标。光刻机由 激光器、测量台、曝光台(双工件台)、光束矫正器、能量控制器、光束形状设 置、遮光器、能量探测器、掩膜台、物镜、内部封闭框架和减振器等组件构成,随着摩尔定律延续,晶体管数量不断翻倍增加,曝光精度迅速从几微米到几纳 米以内,对应光刻机制造难度急剧增加。

光刻机在发展过程中,不断追求曝光更短波长辅以高精度的对准技术,确保曝 光精度和套刻精度提升。根据瑞利公式:CD=k1*(λ/NA),主要改进方向就是降 低波长 λ,提高镜头的数值孔径 NA,降低综合因素 k1。由于光具有波粒二象性,波长越短粒子性越强,波性越弱,用更短的波长能有效避免波的衍射现象,确 保光刻精度和成影效果。目前,光刻机曝光波长以从 436nm/365nm 到 238nm、193nm 到 13.5nm 极紫外光,加工至最小 3nm 特征尺寸的器件。光刻机从类型上也经历了从曝光式(Aligner)、接触式(contact)、步进式 (stepper)、扫描式 scanner、浸没式(Immersion)到现在 EUV 光刻机。

全球前道制造光刻机市场规模超 130 亿美元,市场份额异常集中,ASML 市占 率一枝独秀。根据 Gartner 数据,2020 年全球前道光刻机市场规模为 135.4 亿 亿美元,较 2019 年增长 15.6%,其中包括最先进的 EUV 光刻机在内的步进式 光刻机(stepper)占 95%市场份额。2020 年 ASML、尼康和佳能垄断全球前 道光刻机市场,其中 AMSL 以 88.8%市场份额绝对领先。其他光刻机厂商如应 用材料、SuSS、Veeco 产品主要应用于后道封装、光罩制造等领域。

从出货机型分析,ASML 几乎垄断高端光刻机,市场份额有望继续巩固。从光 刻机出货量来看,根据芯思想研究院数据,2020 年 ASML、Nikon、Cannon 分别出货 258、31、122 台光刻机,ASML 是唯一 EUV 光刻机提供商以及占据 86%浸润式 ArF 光刻机和 67%干法 ArF 光刻机,牢牢掌控全球高端光刻机市场。2021 年公司出货 EUV 光刻机 42 台,占总营收 46%,浸润式 ArF 光刻机 81 台。

高强度研发投入铸起 ASML 光刻机技术壁垒。2011 年至 2021 年,ASML 平均 研发费用率达到 22.8%,大幅超过全球半导体设备大厂应用材料(13.8%)和泛 林(14.2%)。从绝对值上看,10 年间 ASML 总计投入 146.2 亿美元,接近应 用材料 161.8 亿美元并大幅超过泛林 86.24 亿美元。通过持续高强度研发投入,ASML 在高端光刻机领域构建起绝对领先的技术壁垒。

根据 ASML 官网,ASML EUV 光刻机研发时间超过 17 年,总计投入研发费用 60 亿欧元。2013 年 ASML 收购光刻机光源公司 Cymer 以提速研发 EUV 光源,构建起 EUV 光刻机垄断地位。同时,ASML 仍在不断改进浸润式 ArF 光刻机,从分辨率上,ASML 最新浸润式 ArF 光刻机可支持至 5nm 先进逻辑工艺及先进 DRAM 制程;从生产效率上,最新 NXT 2050i 提供一天 6000 片晶圆生产效率,进一步领先其竞争对手。由于先进逻辑制程、先进存储工工艺以及 28nm 成熟 逻辑制程全球性扩产,ASML 有望凭借其高端光刻机继续稳固其绝对龙头市场 地位。

上海微电子为中国目前唯一前道晶圆制造光刻机整机制造商,具备制造支持 90nm 工艺节点光刻机。上海微电子装备(集团)股份有限公司(简称 SMEE)主要 致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售 及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。

2017 年 4 月,公司承担的国家 02 重大科技专项任务“浸没光刻机关键技术预 研项目”通过了国家正式验收;2017 年 10 月,公司承担的 02 重大科技专项 “90nm 光刻机样机研制”任务通过了 02 专项实施管理办公室组织的专家组现 场测试;2018 年 3 月,90nm 光刻机项目通过正式验收,目前为国内获得验 证通过的最先进前道光刻机,此外,公司目前在研可应用于 28nm 工艺节点 制造的 ArF 浸润式光刻机。

涂胶显影设备:东京电子占全球九成份额,芯源微进入量产周期

涂胶显影设备( Track 或 Coater&Developer)是光刻工序中与光刻机配套 使用的涂胶、烘烤及显影设备,包括涂胶机(又称涂布机、匀胶机,英文简称 Spin Coater)、喷胶机(适用于不规则表面晶圆的光刻胶涂覆,英文简称 Spray Coater)和显影机(英文简称 Developer)。涂胶/显影机分别作为光刻机的输 入(曝光前光刻胶涂覆)和输出(曝光后图形的显影),主要通过机械手使晶圆 在各系统之间传输和处理,从而完成晶圆的光刻胶涂覆、固化、显影、坚膜等 工艺过程,其不仅直接影响到光刻工序细微曝光图案的形成,显影工艺的图形 质量对后续蚀刻和离子注入等工艺中图形转移的结果也有着深刻的影响,是集 成电路制造过程中不可或缺的关键处理设备。

全球前道涂胶显影设备整体呈现增长态势。根据 VLSI 数据,全球前道涂胶显 影设备销售额由 2013 年的 14.07 亿美元增长至 2023 年的 24.76 亿美元,另 据 Gartner 数据,2019 年和 2020 年全球前道涂胶显影设备销售额已达到 20.67 亿美元和 25.47 亿美元,高于 VLSI 预测。

东京电子占据全球近 90%市场份额,为细分市场绝对龙头。根据 Gartner 数据,2020 年东京电子(日)、SEMES(韩)、SCREEN(日)和 SUSS MicroTec(德)分别占据全球 87.4%、6.9%、4.7%、1.0%前道涂胶显影机市场份额。东京电 子提供从 8 寸到 12 寸、涵盖 i-line 到 EUV 全面的前道涂胶显影设备,独占细 分市场第一。

芯源微前道涂胶显影设备通过验证渐进规模化量产周期。公司作为项目责任单 位承担并完成了两项与所处涂胶显影设备领域相关的“02 重大专项”项目,分 别是“凸点封装涂胶显影、单片湿法刻蚀设备的开发与产业化”项目和“300mm 晶圆匀胶显影设备研发”项目,成功突破了包括凸点封装工艺相关的超厚光刻 胶膜的涂覆、显影、单片湿法多工艺药液同腔分层刻蚀以及 193nm(ArF)光 刻工艺超薄胶膜均匀涂敷、精细化显影、精密温控热处理等在内的多项核心关 键技术,开发出国产涂胶显影设备并实现量产。公司前道涂胶显影设备已陆续 获得了上海华力、长江存储、武汉新芯、中芯绍兴、厦门士兰集科、上海积塔、株洲中车、青岛芯恩、中芯宁波、等多个客户订单。

刻蚀机:泛林、TEL、应材占比九成,中微、北方华创份额逐步提升

刻蚀工艺(Etch)通过选择性地移除沉积过程中添加的介电(绝缘)材料和金 属(导电)材料,协助形成芯片构件,是与光刻相联系的图形化(pattern)处 理的一种主要工艺。刻蚀影响图形工艺的工艺参数包括不完全刻蚀、过刻蚀、钻蚀、选择比和侧边的各项异性/各向同性刻蚀。

刻蚀工艺分为导体刻蚀、介电质刻蚀或多晶硅刻蚀,分别用于去除晶圆上不同 类型的薄膜。介电质刻蚀作用在刻蚀氧化层以留下“氧化绝缘体”来分隔器件; 多晶硅刻蚀用于制作晶体管内的栅极;采用介电质刻蚀来刻蚀用于铺设金属导 电路径的通孔和沟槽;同时,金属刻蚀可去除铝、钨或铜层,以在逐级叠加的 芯片结构中生成互联导线图形。

干法刻蚀是目前集成电路制造主流的刻蚀技术。刻蚀可以分为湿法刻蚀和干法 刻蚀,湿法刻蚀各向异性较差,侧壁容易产生横向刻蚀造成刻蚀偏差,通常用 于工艺尺寸较大的应用,或用于干法刻蚀后清洗残留物等;干法刻蚀具备选择 比高,可控性、灵活性、重复性好、洁净度高等特点,在半导体图像尺寸发展 到 2 微米以下时成为主流刻蚀工艺。干法刻蚀主要包括等离子刻蚀、离子束刻 蚀、反应离子刻蚀(RIE)等,其中反应离子刻蚀结合了等离子刻蚀和离子束刻 蚀原理。

等离子体刻蚀设备主要包括电容性等离子体刻蚀设备(CCP, Capacitively Coupled Plasma)和电感性等离体刻蚀设备(ICP, Inductively Coupled Plasma)。等离子刻蚀是将电磁能量施加到含有化学反应成分(如氟或氯)的 气体中实现。等离子会释放带正电的离子来撞击晶圆以去除(刻蚀)材料,并 和活性自由基产生化学反应,与刻蚀的材料反应形成挥发性或非挥发性的残留 物。电容性等离子体刻蚀设备主要用于刻蚀氧化物、氮化物等硬度高、需要高 能量离子反应刻蚀的介质材料。电感性等离子体刻蚀设备主要用于刻蚀单晶硅、多晶硅等材料。由于微观器件越做越小,薄膜厚度越来越薄,线宽控制越来越 严,ICP 刻蚀机取代以往的 CCP 刻蚀设备成为市场规模占主导地位的设备。

先进逻辑、DRAM 制程由于线宽不断缩小、芯片结构 3D 化引入多重模板工艺 (多重曝光)使得刻蚀工艺步骤大幅增长,3D NAND 层数不断增加既增加了 刻蚀步骤,又提升了高深宽比刻蚀需求,因此刻蚀相关需求随之不断增长。根 据中微公司数据,7nm 逻辑工艺总刻蚀步骤约为 140 道,相对 40nm、28nm 的约 35 道、50 道有大幅提升。根据 SEMI 的统计数据,刻蚀机在前道晶圆制 造设备市场占比已从 2010 年约 14%提升至 2020 年约 21%。

根据 Gartner 统计数据,2020 年全球集成电路制造干法刻蚀设备市场规模预 计将回升至 136.89 亿美元,同比增长 25.36%,在全球集成电路制造设备市 场的规模占比达 21.10%;2025 年,全球集成电路制造干法刻蚀设备市场规模 预计将增长至 181.85 亿美元,年复合增长率约为 5.84%。

泛林、东京电子、应用材料合计市占超 9 成,国内厂商具备较大发展空间。根 据 Gartner 数据,泛林、东京电子、应用材料分别占据全球前道刻蚀机 47%、27%、17%市场份额,其中东京电子和泛林在介质刻蚀设备占据 53%、38%市 场份额,泛林和应用材料在导体刻蚀设备占据 54%、30%市场份额。相比之下,国内厂商起步较晚,如中微公司、北方华创、屹唐半导体等企业尚处于追赶阶 段,全球市场占有率较低,但近年产品通过全球和国内主要晶圆代工厂和存储 芯片大厂验证并进大规模出货,具备较大的发展空间。

中微公司从主打 CCP 刻蚀机,向 ICP 刻蚀机拓展。中微公司先期开发了 CCP 刻蚀机,近年来进入 ICP 刻蚀机领域,公司的两种刻蚀设备都有单反应台反应 器,每台设备可以带有六个独立的反应器,可以满足高端刻蚀应用的需求。中 微公司的 CCP 刻蚀 设备已广泛的被国内外客户广泛接受,已在 5 纳米器件 上实现量产,并在 5 纳米以下器件的试生产上实现了突破性的进展。中微公司 的 ICP 刻蚀机进入市场后迎来高速发展阶段,出货量正在攀升。

北方华创 ICP 刻蚀机积累深厚,累计出货超千台。2005 年公司第一台 8 寸 ICP 刻蚀机交付客户生产线,2016 年公司首台 14nm 硅刻蚀设备交付客户,2017 年公司首台应用于 8 英寸 0.13um 及以下技术的 Al 金属刻蚀机交付客户,2019 年公司原子层刻蚀设备进入客户生产线,截止 2020 年 12 月,北方华创 ICP 刻蚀机累计交付量破千台。目前公司形成涵盖 8 寸、12 寸集成电路、先进 封装、MEMS、LED、功率器件等应用领域等离子刻蚀机产品矩阵。

屹唐半导体等离子刻蚀设备进入国内外知名大厂。根据公司招股书,paradigmE 系列取双晶圆反应腔、双反应腔产干法刻蚀机可应用于集成电路前 道工序,新产品 CCP 刻蚀机基于业界领先的远程电感耦合等离子体发生器工程 设计,已实现量产销售。目前,公司刻蚀设备已用于三星电子、长江存储等国 内外知名存储芯片制造企业。(报告来源:未来智库)

薄膜沉积设备:北方华创、拓荆科技多线突破

薄膜沉积是指在硅片衬底上沉积一层待处理的薄膜材料,利用沉积工艺可形成 用于构建半导体器件的介电(绝缘)层和金属(导电)材料,并促使器件集成 为电路。所沉积薄膜材料可以是二氧化硅、氮化硅、多晶硅等非金属以及铜等 金属,依据具体的材料和结构类型,需要采用不同的技术,主要工艺有化学气 相沉积(CVD)、物理气相沉积(PVD)、原子沉积(ALD)、电气化学沉积(ECD)、外延等。半导体薄膜需要满足的一般标准包括厚度或均匀性、表面平整度或粗 糙度、组成或核粒尺寸、无应力、纯净度、完整性等。

化学气相沉积(CVD)是通过化学反应的方式,利用加热、等离子或光辐射等 各种能源,在反应器内气态或蒸汽状态的化学物质在气相或气固界面上经化学 反应形成固态沉积物的技术,是一种通过气体混合的化学反应在硅片表面沉积 薄膜的工艺。典型应用包括浅沟槽隔离层、金属前电介质层、金属层间电介质 层和钝化保护层。该工艺也在应变工程中发挥重要作用,采用压缩或拉伸应力 薄膜来改善导电性,从而提升晶体管的性能。

CVD 设备由气相反应室(进气方向与样品表面成水平或垂直),能量系统(加 热或射频),反应气体控制系统,真空系统及废气处理装置等组成。常用 CVD 设备包括 PECVD、SACVD、APCVD、LPCVD、ALD 等,适用于不同工艺节 点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。

常压化学气相沉积(APCVD)是指在大气压下进行的一种化学气相淀积的方法,是化学气相淀积最初所采用的方法。这种工艺所需的系统简单,反应速度快,并且其淀积速率可超过 1000 埃/min,特别适于介质淀积,但是它的缺点是均匀 性较差,所以,APCVD 一般用在厚的介质淀积。

低压化学气相沉积(LPCVD)是指系统工作在较低的压强下的一种化学气相淀积 的方法。随着半导体工艺特征尺寸的减小,对薄膜的均匀性要求及膜厚的误差 要求不断提高,发展出低压化学气相淀积。LPCVD 技术沉积出来的薄膜均匀性 和台阶覆盖性较好,且具有较低的淀积速率和较高的淀积温度。LPCVD 技术不 仅用于制备硅外延层,还广泛用于各种无定形钝化膜及多晶硅薄膜的淀积,是 一种重要的薄膜淀积技术。

等离子体增强化学气相淀积(PECVD)是指采用高频等离子体驱动的一种气相 淀积技术,是一种射频辉光放电的物理过程和化学反应相结合的技术。该气相 淀积的方法可以在非常低的衬底温度下淀积薄膜,例如在铝(AL)上淀积二氧化 硅。工艺上等离子体增强化学气相淀积主要用于淀积绝缘层。

先进制程发展下,ALD(原子层沉积)应用越来越广泛。ALD 工艺直接在芯片 表面堆积材料,一次沉积单层薄膜几分之一的厚度,以尽可能生成最薄、最均 匀的薄膜。从原理上说,ALD 是通过化学反应得到生成物,但在沉积反应原理、沉积反应条件的要求和沉积层的质量上都与传统的 CVD 不同。相对于传统的 沉积工艺而言,ALD 工艺具有自限制生长的特点,可精确控制薄膜的厚度,制 备的薄膜具有均匀的厚度和优异的一致性,台阶覆盖率高,特别适合深槽结构 中的薄膜生长。ALD 设备在 Finfet 的 fin 结构形成、高 k 材料、金属栅、STI、BSI 等工艺中均存在大量应用。

物理气相沉积(Physical Vapor Deposition,PVD)技术表示在真空条件下,采 用物理方法,将固体或液体等材料源表面气化成气态原子、分子或部分电离成 离子,并通过低压气体(或等离子体)过程,在基体表面沉积具有某种特殊功 能的薄膜的技术。半导体前道物理气相沉积的主要方法有真空蒸镀、溅射镀膜 等,PVD 沉积工艺用于为先进晶体管高 k 栅介质/金属栅极生成超薄盖帽层和 金属栅极薄膜,也用于在互连结构中形成超薄阻挡膜和种子层。

溅射(Sputtering)工艺目前为集成电路前道制造物理气相沉积制备薄膜的主 要技术。溅射主要利用离子源产生的离子,在高真空中经过加速聚集,而形成 高速度能的离子束流,轰击固体(溅射靶材)表面,离子和溅射靶材表面原子 发生动能交换,使固体表面的原子离开固体并沉积在基底表面。

如同刻蚀工艺,由于先进逻辑、DRAM 制程由于线宽不断缩小、芯片结构 3D 化 引入多重模板工艺(多重曝光)以及 3D NAND 层数不断增加,薄膜沉积工艺 步骤随之显著增加。根据 Maximize Market Research 预测,至 2025 年全球全 球薄膜沉积设备市场规模将达到 340 亿美元,2017 年至 2025 年维持 13.3%复 合增长率。

薄膜沉积设备占据最大前道设备市场份额。根据 Gartner 数据,2020 年薄膜沉 积设备在前道晶圆制造设备市场占比约 25.1%,合计 139.2 亿美元,超过光刻 和刻蚀设备市场份额成为最大的前道工艺设备细分市场。其中 PECVD 占比达 到 34%为最高,ALD 占据 13%,LPCVD 占据 7%;属于 PVD 溅射和电镀 ECD 分别占据 21%和 4%市场份额。

应用材料、泛林、东京电子占据全球前道薄膜沉积设备市场超 7 成市场份额。根据 Gartner 数据,2020 年应用材料、泛林、东京电子分别占据全球前道薄膜 沉积设备 43%、19%、11%市场份额,CR3 集中度达 73%。从主要 CVD 设备 看,应用材料、泛林分别占据 PECVD 的 48.7%、34.4%市场份额,荷兰的 ASM International 东京电子、泛林占据 ALD 的 45.8%、28.5%和 10.1%市场份额。而在 PVD 溅射设备市场,应用材料以 86.8%份额主导市场。

北方华创薄膜沉积设备布局全面。PVD 方面,北方华创微电子凭借十余年的微 电子领域高端工艺设备开发经验,公司突破了溅射源设计技术、等离子产生与 控制技术、颗粒控制技术、腔室设计与仿真模拟技术、软件控制技术等多项关 键技术,建立了具有自主知识产权的核心技术优势,形成了国产集成电路领域 高端薄膜制备设备零的突破,设备应用跨越多个技术代,代表着国产集成电路 薄膜制备工艺设备的较高水平,并成功进入国际供应链体系。CVD 方面,公司 先后完成了 PECVD、APCVD、LPCVD、ALD 等设备的开发,满足集成电路、半导体照明、微机电系统、功率半导体、化合物半导体、新能源光伏等领域多 种制造工艺需求。

拓荆科技主要从事高端半导体专用设备的研发、生产、销售和技术服务。公司 主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,根据其招股书,公 司产品已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展 开 10nm 及以下制程产品验证测试。

清洗设备:多家本土公司稳健起步,有望率先国产替代

半导体清洗工艺用于去除半导体硅片制造、晶圆制造和封装测试每个步骤中可 能存在的杂质,避免杂质影响芯片良率和芯片产品性能。随着芯片制造工艺先 进程度的持续提升,清洗是贯穿半导体产业链的重要工艺环节,对晶圆表面污 染物的控制要求不断提高,每一步光刻、刻蚀、沉积等重复性工序后,都需要 一步清洗工序。

半导体清洗去除半导体制造过程中的颗粒、自然氧化层、金属污染、有机物、牺牲层、抛光残留物等杂质,将晶圆制造过程中晶圆表面的上述各种污染物控 制在工艺要求的范围之内,并保证晶圆表面无损伤,以保证芯片的良率及性能。随着工艺节点不断发展,对晶圆表面污染物的控制要求越来越高。

晶圆制造产线上通常以湿法清洗为主,少量特定步骤采用湿法和干法清洗相结 合的方式互补所短,构建清洗方案。根据清洗介质的不同,目前半导体清洗技 术主要分为湿法清洗和干法清洗两种工艺路线,根据盛美上海招股书,湿法清洗 是主流的清洗技术路线,占芯片制造清洗步骤数量的 90%以上。

湿法清洗是针对不同的工艺需求,采用特定的化学药液和去离子水,对晶 圆表面进行无损伤清洗,以去除晶圆制造过程中的颗粒、自然氧化层、有 机物、金属污染、牺牲层、抛光残留物等物质,可同时采用超声波、加热、真空等辅助技术手段。

干法清洗是指不使用化学溶剂的清洗技术,主要包括等离子清洗、超临界 气相清洗、束流清洗等技术。干法清洗主要是采用气态的氢氟酸刻蚀不规 则分布的有结构的晶圆二氧化硅层,虽然具有对不同薄膜有高选择比的优 点,但可清洗污染物比较单一,目前在 28nm 及以下技术节点的逻辑产品 和存储产品有应用。

在集成电路制造的先进工艺中,单片清洗已逐步取代槽式清洗成为主流。在湿法清 洗工艺路线下,目前主流的清洗设备主要包括单片清洗设备、槽式清洗设备、组合式清洗设备和批式旋转喷淋清洗设备等,其中单片清洗设备市场份额占比 最高。单片清洗能够在整个制造周期提供更好的工艺控制,改善了单个晶圆和不同晶 圆间的均匀性,提高了产品良率,有效减少槽式清洗出现交叉污染的影响。湿法清洗工艺 路线下主流的清洗设备存在先进程度的区分,主要体现在可清洗颗粒大小,金 属污染,腐蚀均一性以及干燥技术等标准。

DNS(Dainippon Screen Semiconductor Solutions)为全球前道清洗设备龙头,盛美、北方华创崭露头角。根据 Gartner 数据,2020 年全球前道清洗设备市场 规模约为 33 亿美元。其中单片清洗设备市场规模为 25.3 亿美元,DNS 占据 38.3%市场份额居首,东京电子、SMES、泛林以 19.5%、19.2%、17.7%次之,盛美和北方华创分别占据 5.2%和 0.2%市场份额,开始崭露头角。槽式清洗设 备市场规模约为 5.5 亿美元,DNS 以 69.9%市占率占比第一,东京电子以 15.2% 市场份额次之,北方华创以 8%市占率居全球第三。

CMP 设备:供给高度集中于 CR2,华海清科实现商业量产破局

化 学 机 械 抛 光(Chemical Mechanical Polishing,CMP)是集成电路制 造过程中实现晶圆全局均匀平坦化的关键工艺。在集成电路制造的各个阶段,晶圆表面都要保持完全平坦或进行平坦化处理,目的是去除多余的材料,或者 是为了建立极其平坦的基底,以便添加下一层电路特征。如果晶圆制造过程中 无法做到纳米级全局平坦化,既无法重复进行光刻、刻蚀、薄膜和掺杂等关键 工艺,也无法将制程节点缩小至纳米级的先进领域,因此随着超大规模集成电 路制造的线宽不断细小化而产生对平坦化的更高要求需求,CMP 在先进工艺制 程中具有不可替代且越来越重要的作用。

CMP 设备主要依托 CMP 技术的化学-机械动态耦合作用原理,通过化学腐蚀 与机械研磨的协同配合作用,晶圆的背面施加精确的向下力并将晶圆正面压在 由特殊材料制成(还含有化学药剂和研磨剂的混合物)的旋转垫上,从而去除 晶圆正面上的多余材料实现晶圆表面多余材料的高效去除与全局纳米级平坦化。

应用材料占据全球前道 CMP 设备 6 成市场份额,CR2 集中率超 93%。根据 Gartner 数据,2020 年全球前道 CMP 设备市场规模为 17.7 亿美元,较 2019 年 14.5 亿美元增长 22.1%,增速高于前道设备整体市场增速(16.4%)。其中 应用材料占据 64%市场份额,日企荏原占据 29%市场份额,前二名供应商占据 93%市场份额,供给端高度集中。

华海清科为国内唯一实现 CMP 商业量产突破的半导体设备制造商。华海清科 是一家成立于 2013 年拥有核心自主知识产权的高端半导体设备制造商,主要 从事半导体专用设备的研发、生产、销售及技术服务,主要产品为化学机械抛 光(CMP)设备,是目前国内唯一一家为集成电路制造商提供 12 英寸 CMP 商 业机型的高端半导体设备制造商。

华海清科 CMP 设备已商业出货国内外集成电路制造生产线。根据华海清科招 股书,公司研制的 CMP 设备产品全面覆盖集成电路制造过程中的非金属介质 CMP、金属薄膜 CMP、硅 CMP 等抛光工艺并取得量产应用,高端 CMP 设 备的工艺技术水平已在 14nm 制程验证中,形成了硬件+技术服务的全方位体 系。截至 2020 年 12 月 31 日,公司 CMP 设备已累计出货 58 台,在手订 单 35 台,设备已广泛应用于中芯国际、长江存储、华虹集团、英特尔、长鑫 存储、厦门联芯、广州粤芯、上海积塔等国内外先进集成电路制造商的大生产 线中。



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有