VHDL移位操作 您所在的位置:网站首页 vhdl逻辑操作符优先级 VHDL移位操作

VHDL移位操作

#VHDL移位操作| 来源: 网络整理| 查看: 265

通过SLL实现五比特数的移动,另外常见的还有 SRL 逻辑右移 ---- 实现数据右移,左端补0; SLA 算术左移 ---- 实现数据左移,同时复制最右端的位,填充在右端空出的位置; SRA 算术右移 ---- 实现数据右移,同时复制最左端的位,填充在左端空出的位置; ROL 循环逻辑左移 ---- 实现数据左移,从左端移出的位填充到右端空出的位置上; ROR 循环逻辑右移 ----实现 数据右移,从右端移出的位填充到左端空出的位置上。 移位的类型必须为std_logic_vector j



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有