(Xilinx)FPGA中LVDS差分高速传输的实现 您所在的位置:网站首页 uart信号取反的作用 (Xilinx)FPGA中LVDS差分高速传输的实现

(Xilinx)FPGA中LVDS差分高速传输的实现

2023-12-01 05:11| 来源: 网络整理| 查看: 265

低压差分传送技术是基于低压差分信号(Low Volt-agc Differential signaling)的传送技术,从一个电路板系统内的高速信号传送到不同电路系统之间的快速数据传送都可以应用低压差分传送技术来实现,其应用正变得越来越重要。低压差分信号相对于单端的传送具有较高的噪声抑制功能,其较低的电压摆幅允许差分对线具有较高的数据传输速率,消耗较小的功率以及产生更低的电磁辐射。

LVDS:Low Voltage Differential Signaling,低电压差分信号。

LVDS传输支持速率一般在155Mbps(大约为77MHZ)以上。

LVDS是一种低摆幅的差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗。

差分信号抗噪特性

从差分信号传输线路上可以看出,若是理想状况,线路没有干扰时,

在发送侧,可以形象理解为:

IN= IN+ — IN-

在接收侧,可以理解为:

IN+ — IN- =OUT

所以:

OUT = IN

在实际线路传输中,线路存在干扰,并且同时出现在差分线对上,

在发送侧,仍然是:

IN = IN+ — IN-

线路传输干扰同时存在于差分对上,假设干扰为q,则接收则:

(IN+ + q) — (IN- + q) = IN+ — IN- = OUT

所以:

OUT = IN

噪声被抑止掉。 上述可以形象理解差分方式抑止噪声的能力。

From: 美国国家半导体的《LVDS用户手册》P9

FPGA中的差分管脚

     为了适用于高速通讯的场合,现在的FPGA都提供了数目众多的LVDS接口。如Spartan-3E系列FPGA提供了下列差分标准:

 LVDS

 Bus LVDS

mini-LVDS

RSDS

 Differential HSTL (1.8V, Types I and III)

 Differential SSTL (2.5V and 1.8V, Type I)

2.5V LVPECL inputs

  

所拥有的差分I/O管脚数目如下

From:Spartan-3E FPGA Family:Complete Data Sheet   p5

I/O管脚的命名方式:

From:Spartan-3E FPGA Family:Complete Data Sheet    p164

From:Spartan-3E FPGA Family:Complete Data Sheet    p18

Spartan-3E系列FPGA器件差分I/O接口输入工作的特性参数:

From:Spartan-3E FPGA Family:Complete Data Sheet    p126

公众号:OpenFPGA

Spartan-3E系列FPGA器件差分I/O接口输出工作的特性参数:

From:Spartan-3E FPGA Family:Complete Data Sheet    p127

Xilinx公司差分原语的使用

(原语,其英文名字为Primitive,是Xilinx针对其器件特征开发的一系列常用模块的名字,用户可以将其看成Xilinx公司为用户提供的库函数,类似于C++中的“cout”等关键字,是芯片中的基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等,相当于软件中的机器语言。在实现过程中的翻译步骤时,要将所有的设计单元都转译为目标器件中的基本元件,否则就是不可实现的。原语在设计中可以直接例化使用,是最直接的代码输入方式,其和HDL语言的关系,类似于汇编语言和C语言的关系。)

公众号:OpenFPGA

关于Xilinx原语的详细介绍,可以参考下面文章

1)FPGA开发实用教程  第4节 Xilinx公司原语的使用方法

http://www.eefocus.com/article/08-03/37457s.html

2)ISE的Help—sofeware Manuals

差分I/O端口组件

1)           IBUFDS 

IBUFDS原语用于将差分输入信号转化成标准单端信号,且可加入可选延迟。在IBUFDS原语中,输入信号为I、IB,一个为主,一个为从,二者相位相反。 公众号:OpenFPGA

IBUFDS的逻辑真值表所列,其中“-*”表示输出维持上一次的输出值,保持不变。

表IBUFDS原语的输入、输出真值表

IBUFDS原语的例化代码模板如下所示:  

// IBUFDS: 差分输入缓冲器(Differential Input Buffer) // 适用芯片:Virtex-II/II-Pro/4, Spartan-3/3E // Xilinx HDL库向导版本,ISE 9.1 IBUFDS #( .DIFF_TERM("FALSE"), // 差分终端,只有Virtex-4系列芯片才有,可设置为True/Flase .IOSTANDARD("DEFAULT") // 指定输入端口的电平标准,如果不确定,可设为DEFAULT ) IBUFDS_inst ( .O(O), // 时钟缓冲输出 .I(I), // 差分时钟的正端输入,需要和顶层模块的端口直接连接 .IB(IB) // 差分时钟的负端输入,需要和顶层模块的端口直接连接 ); // 结束IBUFDS模块的例化过程

 

http://www.xilinx.com/itp/xilinx6/books/data/docs/lib/lib0229_197.html

Verilog Instantiation Template

IBUFDS instance_name (.O (user_O),

                                  .I (user_I),

                                  .IB (user_IB));

在综合结果分析时,IBUFDS的RTL结构如图所示。

图IBUFDS原语的RTL结构图

2)        OBUFDS

OBUFDS将标准单端信号转换成差分信号,输出端口需要直接对应到顶层模块的输出信号,和IBUFDS为一对互逆操作。OBUFDS原语的真值表如表所列。

表OBUFDS原语的真值表

OBUFDS原语的例化代码模板如下所示:  

// OBUFDS: 差分输出缓冲器(Differential Output Buffer) // 适用芯片:Virtex-II/II-Pro/4, Spartan-3/3E // Xilinx HDL库向导版本,ISE 9.1 OBUFDS #( .IOSTANDARD("DEFAULT") // 指名输出端口的电平标准 ) OBUFDS_inst ( .O(O), // 差分正端输出,直接连接到顶层模块端口 .OB(OB), // 差分负端输出,直接连接到顶层模块端口 .I(I) // 缓冲器输入 ); // 结束OBUFDS模块的例化过程

http://www.xilinx.com/itp/xilinx5/data/docs/lib/lib0317_301.html

Verilog Instantiation Template

OBUFDS instance_name (.O (user_O),

                                  .OB (user_OB),

                                  .I (user_I));

在综合结果分析时,OBUFDS原语的RTL结构如图所示。

图OBUFDS的RTL结构图

3) IOBUFDS

IOBUFDS原语真值表

IOBUFDS的RTL结构图

Verilog Instantiation Template

// IOBUFDS: Differential Bi-directional Buffer // Virtex-II/II-Pro/4/5, Spartan-3/3E/3A // Xilinx HDL Libraries Guide, version 9.1i IOBUFDS #( .IBUF_DELAY_VALUE("0"), // Specify the amount of added input delay for the buffer, "0"-"16" (Spartan- 3E only) .IFD_DELAY_VALUE("AUTO"), // Specify the amount of added delay for input register, "AUTO", "0"-"8" (Spartan-3E only) .IOSTANDARD("DEFAULT") // Specify the I/O standard ) IOBUFDS_inst ( .O(O), // Buffer output .IO(IO), // Diff_p inout (connect directly to top-level port) .IOB(IOB), // Diff_n inout (connect directly to top-level port) .I(I), // Buffer input .T(T) // 3-state enable input ); // End of IOBUFDS_inst instantiation

差分时钟组件

1)IBUFGDS

与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等,如图1所示。

IBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。IBUFG支持BLVDS、LDT、LVDSEXT、LVDS、LVPECL和ULVDS等多种格式的IO标准。

http://www.xilinx.com/itp/xilinx6/books/data/docs/lib/lib0231_199.html

   IBUFGDS原语真值表

IBUFGDS的RTL结构图

Verilog Instantiation Template

IBUFGDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

LVDS差分的在FPGA中的应用

     在高速传输的过程中,经常会受到干扰而误码,因此有时候时钟输入采用差分输入的办法来提高抗干扰的能力。下面已一个二分频为例子:

二分频Verilog代码如下:

`timescale 1ns / 1ps module div2(clk, div2_clk, rst_n); input clk; input rst_n; output div2_clk; reg div2_clk; 公众号:OpenFPGA always@(posedge clk or negedge rst_n) begin if(!rst_n) div2_clk else div2_clk end endmodule

布线布局的仿真(Post-Route Simulation)波形如下:

    

        现在对时钟clk信号进行差分处理,对div2 module进行例化(Create Schematic Symbol)

        新建一个div2_diff.sch。添加div2的module在sch上。通过搜索,把时钟缓冲差分组件IBUFGDS也放在div2_diff.sch上。

    设置好clk的差分管脚,(按照Verilog命名规范)正的命名为clk_p,负的命名为clk_n。

   

       在添加波形测试文件时要注意,Clock Information选择Multiple Clocks(因为时钟变为两个clk_p、clk_n)

下一步,把clk_p和clk_n都选上:

可以看到仿真的clk_p和clk_n都变为差分输入的了。

还要对div2_diff.sch进行管脚约束。div2_diff.ucf约束文件如下:

NET "clk_p" LOC = "C9" | IOSTANDARD = LVDS_25 ; NET "clk_n" LOC = "D9" | IOSTANDARD = LVDS_25 ; NET "div2_clk" LOC = "A10" | IOSTANDARD = LVCMOS33 ; NET "rst_n" LOC = "H13" | IOSTANDARD = LVCMOS33 ;

要注意:

clk_n和clk_p都要设置在差分管脚对上,

clk_n——C9——IO_L14P

clk_p——D9——IO_L14N

并且逻辑电平标准设置为LVDS_25。Spartan-3E支持下面的差分逻辑电平标准。

LVDS

Bus LVDS

mini-LVDS

RSDS

Differential HSTL (1.8V, Types I and III)

Differential SSTL (2.5V and 1.8V, Type I)

2.5V LVPECL inputs

     综合、翻译、映射和布线布局后,运行布线布局仿真,可以看到时钟clk已经变为差分时钟了。

    看div2_diff.sch的HDL文件(View HDL Functional Model)。可以看到其实sch调用了IBUFGDS原语。

在差分设计中,经常会因为配置错误而使得综合出错,最常见的错误分析如下:

ERROR:Place:332 - This design contains an LVDS IO pair. The pair of IOs must be placed in a specific relative structure. The two IOs can not be placed in this specific structure. The reason for this issue: All of the logic associated with this structure is locked and the relative placement of the logic violates the structure. The problem was found between the relative placement of IBUF clk_p at site PAD27 and IBUF clk_n at site IPAD28. The following components are part of this structure: IBUF clk_p IBUF clk_n

        这个错误出错是因为差分管脚clk_p和clk_n没有约束到芯片的差分对管脚上。需要修改.ucf文件。至于芯片哪个管脚是差分对,可以参考相应芯片的Datasheet。XC3S500E-FG320(Spartan-3E fpga)有92个I/O差分管脚和12个输入差分管脚。

ERROR:Pack:946 - The I/O component "clk_p" has an illegal IOSTANDARD value. Components of type DIFFMI do not support IOSTANDARD LVCMOS33. Please correct the IOSTANDARD property value.

这个错误出错是因为差分管脚设置的逻辑电平标准不对,设置成LVCMOS33,应该设置为芯片支持的LVDS逻辑电平标准。

参考资料:

1)基于LVDS技术与FPGA的高速通讯应用研究,韩党群,唐征兵,张庆玲

http://www.eccn.com/xsj07/xsj080231.asp 

2)LVDS原理与应用简介

http://www.ent.eetchina.com/ART_8800472639_2700004_TA_5d4d019d.HTM 

3) 美国国家半导体的《LVDS用户手册》

http://www.ent.eetchina.com/ART_8800562170_2700004_TA_552cca6f.HTM

Xilinx FPGA 如何使用LVDS

http://www.61eda.com/Services/help/Xilinx/200803/1225.html

无论使用HDL flow还是schematic flow,只需要例化IBUFDS,OBUFDS之类的差分缓冲器,就可以使用LVDS了。

    例化之后,要定位Pin位置,使用PACE,在IO

    Standard中选择LVDS33或者LVDS25,还能选择有DCI的版本。定端口时,注意看Datasheet中Pin名字分P/N,这P也要对应buffer中的P,N也要对应N。而且注意同一个bank只能有一个电压标准。

    如果用FPGA Editor观察布局布线后的情况,就会发现,FPGA

    Editor中没有IBUFDS这个Component,这个Buffer是藏在IOB里的,点击到Pin的图块中,可以看到里面有一个Buffer,就起到了IBUFDS这个作用。

- END -

NOW现在行动! 推荐阅读 【Vivado那些事】如何查找官网例程及如何使用官网例程【Vivado使用误区与进阶】总结篇【Vivado那些事】Vivado下头文件使用注意事项【Vivado那些事】Vivado中常用的快捷键(一)F4键【Vivado那些事】Vivado中常用的快捷键(二)其他常用快捷键 HDL Designer Series(HDS)介绍 SystemVerilog数字系统设计_夏宇闻 PDF Verilog 里面,always,assign和always@(*)区别 FPGA上如何求32个输入的最大值和次大值:分治一文读懂TCP/IP!《RISC-V on T-Core》学习笔记新年愿望是什么?先送大家一波开发软件谈谈FPGA(入门)学习的两种方式ZYNQ-迷恋ZYNQ-FPGA开发板资源分享 零基础入门FPGA,如何学习? 黑金全部开发板资料(FPGA+ZYNQ)分享 【Vivado那些事】FPGA配置失败,无法启动怎么办 你会在github上找项目吗? 图书推荐|ARM Cortex-M0 全可编程SoC原理及实现 简谈:如何学习FPGARISC-V再进阶!世界首款5纳米RISC-V SOC成功流片! 几款开源SDR平台 Xilinx 推出 Kria 自适应系统模块产品组合,在边缘加速创新和 AI应用 RISC-V指令集架构介绍及国内外厂商介绍 Vitis尝鲜(一) SDR/无线设计中LNA和PA的基本原理 拆解1968年的美国军用电脑,真的怀疑是“穿越”啊! 一文最全科普FPGA技术知识 首个中文CPU指令规范 龙芯推出LoongArch基础架构手册 你见过1-bit CPU吗?高级FPGA设计技巧!多时钟域和异步信号处理解决方案 【Vivado那些事】Vivado中电路结构的网表描述 ZYNQ中裸机开发和Linux开发有什么区别? 现代计算机的雏形-微型计算机MCS-4 【每周一问】如何控制加载FPGA程序时,Xilinx FPGA的IO管脚输出高低电平 【Vivado那些事】vivado生成.bit文件时报错-ERROR: [Drc 23-20] AD9361 和Zynq及其参考设计说明 点击上方字体即可跳转阅读


【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有